An Analysis of Various Design Pathways Towards Multi-Terabit Photonic On-Interposer Interconnects (2306.07241v1)
Abstract: In the wake of dwindling Moore's Law, to address the rapidly increasing complexity and cost of fabricating large-scale, monolithic systems-on-chip (SoCs), the industry has adopted dis-aggregation as a solution, wherein a large monolithic SoC is partitioned into multiple smaller chiplets that are then assembled into a large system-in-package (SiP) using advanced packaging substrates such as silicon interposer. For such interposer-based SiPs, there is a push to realize on-interposer inter-chiplet communication bandwidth of multi-Tb/s and end-to-end communication latency of no more than 10ns. This push comes as the natural progression from some recent prior works on SiP design, and is driven by the proliferating bandwidth demand of modern data-intensive workloads. To meet this bandwidth and latency goal, prior works have focused on a potential solution of using the silicon photonic interposer (SiPhI) for integrating and interconnecting a large number of chiplets into an SiP. Despite the early promise, the existing designs of on-SiPhI interconnects still have to evolve by leaps and bounds to meet the goal of multi-Tb/s bandwidth. However, the possible design pathways, upon which such an evolution can be achieved, have not been explored in any prior works yet. In this paper, we have identified several design pathways that can help evolve on-SiPhI interconnects to achieve multi-Tb/s aggregate bandwidth. We perform an extensive link-level and system-level analysis in which we explore these design pathways in isolation and in different combinations of each other. From our link-level analysis, we have observed that the design pathways that simultaneously enhance the spectral range and optical power budget available for wavelength multiplexing can render aggregate bandwidth of up to 4Tb/s per on-SiPhI link.
- Silicon photonic 2.5 D multi-chip module transceiver for high-performance data centers. Journal of Lightwave Technology 38, 13 (2020), 3346–3357.
- MCM-GPU: Multi-chip-module GPUs for continued performance scalability. ACM SIGARCH Computer Architecture News 45, 2 (2017), 320–332.
- Integrating photonics with silicon nanoelectronics for the next generation of systems on a chip. Nature 556, 7701 (2018), 349–354.
- Meisam Bahadori and Keren Bergman. 2018. Low-power optical interconnects based on resonant silicon photonic devices: Recent advances and challenges. In Proceedings of the 2018 on Great Lakes Symposium on VLSI. 305–310.
- Crosstalk penalty in microring-based silicon photonic interconnect systems. Journal of Lightwave Technology 34, 17 (2016), 4043–4052.
- Comprehensive design space exploration of silicon photonic interconnects. Journal of Lightwave Technology 34, 12 (2016), 2975–2987.
- Energy-performance optimized design of silicon photonic interconnection networks for high-performance computing. In Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017. IEEE, 326–331.
- Heterogeneous integration at fine pitch (≤ 10 μ𝜇\muitalic_μm) using thermal compression bonding. In 2017 IEEE 67th electronic components and technology conference (ECTC). IEEE, 1276–1284.
- High speed photonics on an SOI platform. In 2008 IEEE International SOI Conference. IEEE, 85–86.
- A survey of on-chip optical interconnects. ACM Computing Surveys (CSUR) 51, 6 (2019), 1–34.
- Janibul Bashir and Smruti R Sarangi. 2017. NUPLet: A photonic based multi-chip NUCA architecture. In 2017 IEEE International Conference on Computer Design (ICCD). IEEE, 617–624.
- Photonic network-on-chip design. Springer.
- Kite: A family of heterogeneous interposer topologies enabled via accurate interconnect modeling. In 2020 57th ACM/IEEE Design Automation Conference (DAC). IEEE, 1–6.
- The PARSEC benchmark suite: Characterization and architectural implications. In Proceedings of the 17th international conference on Parallel architectures and compilation techniques. 72–81.
- On the modeling of thermal and free carrier nonlinearities in silicon-on-insulator microring resonators. Optics Express 29, 3 (2021), 4363–4377.
- Dadiannao: A machine-learning supercomputer. In 2014 47th Annual IEEE/ACM International Symposium on Microarchitecture. IEEE, 609–622.
- Unified methodology for heterogeneous integration with CoWoS technology. In 2013 IEEE 63rd Electronic Components and Technology Conference. IEEE, 852–859.
- Accelerating persistent neural networks at datacenter scale. In Hot Chips, Vol. 29.
- Intel Corporation. 2020a. Architecture Day 2020. https://newsroom.intel.com/wp-content/uploads/sites/11/2020/08/Intel-Architecture-Day-2020-Presentation-Slides.pdf
- Semiconductor Research Corporation. 2020b. The Decadal Plan for Semiconductors. Retrieved March 23, 2022 from https://www.src.org/about/decadal-plan/
- DARPA. 2018. PIPES. https://s3-us-west-2.amazonaws.com/instrumentl/grantsgov/310031.pdf
- 3D-Integrated Multichip Module Transceiver for Terabit-Scale DWDM Interconnects. In Optical Fiber Communication Conference. Optical Society of America, Th4A–4.
- Power handling of silicon microring modulators. Optics express 27, 17 (2019), 24274–24285.
- Silicon-on-insulator waveguide devices for broadband mid-infrared photonics. IEEE Photonics Journal 9, 3 (2017), 1–10.
- Low loss shallow-ridge silicon waveguides. Optics express 18, 14 (2010), 14474–14479.
- FSR-free silicon-on-insulator microring resonator based filter with bent contra-directional couplers. Optics express 24, 25 (2016), 29009–29021.
- A configurable cloud-scale DNN processor for real-time AI. In 2018 ACM/IEEE 45th Annual International Symposium on Computer Architecture (ISCA). IEEE, 1–14.
- Photonic-chip-based frequency combs. nature photonics 13, 3 (2019), 158–169.
- Giora Griffel. 2000. Vernier effect in asymmetrical ring resonator arrays. IEEE Photonics Technology Letters 12, 12 (2000), 1642–1644.
- Linley Gwennap. 2018. Graphcore makes big AI splash. Microprocessor Rep., The Linley Group, Mountain View, CA, USA (2018).
- Ultracompact Fiber-to-Chip Metamaterial Edge Coupler. ACS Photonics 8, 11 (2021), 3226–3233.
- Deep residual learning for image recognition. In Proceedings of the IEEE conference on computer vision and pattern recognition. 770–778.
- Physical layer analysis and modeling of silicon photonic WDM bus architectures. In Proc. HiPEAC Workshop. 20–22.
- Free-space applications of silicon photonics: A review. Micromachines 13, 7 (2022), 990.
- John Hu. 2016. System level co-cptimizations of 2.5 D/3D hybrid integration for high performance computing system. In Semicon West, Vol. 2016.
- 3×\times× 40 Gbit/s All-Optical Logic Operation Based on Low-Loss Triple-Mode Silicon Waveguide. Micromachines 13, 1 (2022), 90.
- Subramanian S Iyer. 2016. Heterogeneous integration for performance and scaling. IEEE Transactions on Components, Packaging and Manufacturing Technology 6, 7 (2016), 973–982.
- Latency, bandwidth and power benefits of the superchips integration scheme. In 2017 IEEE 67th Electronic Components and Technology Conference (ECTC). IEEE, 86–94.
- Noc architectures for silicon interposer systems: Why pay for more wires when you can get them (from your interposer) for free?. In 2014 47th Annual IEEE/ACM International Symposium on Microarchitecture. IEEE, 458–470.
- In-datacenter performance analysis of a tensor processing unit. In Proceedings of the 44th annual international symposium on computer architecture. 1–12.
- Enabling interposer-based disintegration of multi-core processors. In 2015 48th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO). IEEE, 546–558.
- Redesigning Photonic Interconnects with Silicon-on-Sapphire Device Platform for Ultra-Low-Energy On-Chip Communication. In Proceedings of the 2020 on Great Lakes Symposium on VLSI. 247–252.
- SiP-ML: high-bandwidth optical network interconnects for machine learning training. In Proceedings of the 2021 ACM SIGCOMM 2021 Conference. 657–675.
- Turn-key, high-efficiency Kerr comb source. Optics letters 44, 18 (2019), 4475–4478.
- Silicon interposer warpage study for 2.5 D IC without TSV utilizing glass carrier CTE and passivation thickness tuning. In 2016 IEEE 66th Electronic Components and Technology Conference (ECTC). IEEE, 310–315.
- Ultrahigh-bandwidth silicon photonic nanowire waveguides for on-chip networks. IEEE Photonics Technology Letters 20, 6 (2008), 398–400.
- Ang Li and Wim Bogaerts. 2016. A simple and novel method to obtain an FSR free silicon ring resonator. In Silicon Photonics and Photonic Integrated Circuits V, Vol. 9891. International Society for Optics and Photonics, 989115.
- Experimental characterization of the optical-power upper bound in a silicon microring modulator. In 2012 Optical Interconnects Conference. IEEE, 38–39.
- Power insensitive silicon microring resonators. Optics letters 37, 4 (2012), 590–592.
- Embedded multi-die interconnect bridge (EMIB)–a high density, high bandwidth packaging interconnect. In 2016 IEEE 66th Electronic Components and Technology Conference (ECTC). IEEE, 557–565.
- Free-spectral-range-free microring-based coupling modulator with integrated contra-directional-couplers. In Optical Components and Materials XVII, Vol. 11276. International Society for Optics and Photonics, 1127607.
- Polarization-transparent silicon photonic add-drop multiplexer with wideband hitless tuneability. Nature Communications 12, 1 (2021), 1–7.
- Edge couplers in silicon photonic integrated circuits: A review. Applied Sciences 10, 4 (2020), 1538.
- Pioneering Chiplet Technology and Design for the AMD EPYC™ and Ryzen™ Processor Families: Industrial Product. In 2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA). IEEE, 57–70.
- OpenAI. 2018. .
- Demonstration of 1.28-Tb/s transmission in next-generation nanowires for photonic networks-on-chip. In 2010 23rd Annual Meeting of the IEEE Photonics Society. IEEE, 560–561.
- Designing a 2048-Chiplet, 14336-Core Waferscale Processor. In 2021 58th ACM/IEEE Design Automation Conference (DAC). IEEE, 1183–1188.
- A case for packageless processors. In 2018 IEEE international symposium on high performance computer architecture (HPCA). IEEE, 466–479.
- Architecting waferscale processors-a GPU case study. In 2019 IEEE International Symposium on High Performance Computer Architecture (HPCA). IEEE, 250–263.
- Flexishare: Channel sharing for an energy-efficient nanophotonic crossbar. In HPCA-16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture. IEEE, 1–12.
- Firefly: Illuminating future network-on-chip with nanophotonics. In Proceedings of the 36th annual international symposium on Computer architecture. 429–440.
- Sudeep Pasricha and Mahdi Nikdast. 2020. A survey of silicon photonics for energy-efficient manycore computing. IEEE Design & Test 37, 4 (2020), 60–81.
- Reconfigurable FSR-free microring resonator filter with wide hitless tunability. In 2021 IEEE Photonics Society Summer Topicals Meeting Series (SUM). IEEE, 1–2.
- Expanding the silicon photonics portfolio with silicon nitride photonic integrated circuits. Journal of lightwave technology 35, 4 (2017), 639–649.
- Hybrid 14nm FinFET-Silicon Photonics Technology for Low-Power Tb/s/mm 2 Optical I/O. In 2018 IEEE Symposium on VLSI Technology. IEEE, 221–222.
- Energy efficiency analysis of frequency comb sources for silicon photonic interconnects. In 2019 IEEE Optical Interconnects Conference (OI). IEEE, 1–2.
- Photonic Networks-on-Chip Employing Multilevel Signaling: A Cross-Layer Comparative Study. arXiv e-prints (2021), arXiv–2110.
- Breaking the coupling efficiency–bandwidth trade-off in surface grating couplers using zero-order radiation. Laser & Photonics Reviews 15, 6 (2021), 2000542.
- Tejas: A java based versatile micro-architectural simulator. In 2015 25th International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS). IEEE, 47–54.
- Measuring the effects of data parallelism on neural network training. arXiv preprint arXiv:1811.03600 (2018).
- Megatron-lm: Training multi-billion parameter language models using model parallelism. arXiv preprint arXiv:1909.08053 (2019).
- Knights landing: Second-generation intel xeon phi product. Ieee micro 36, 2 (2016), 34–46.
- Battery-operated integrated frequency comb generator. Nature 562, 7727 (2018), 401–405.
- Monolithic silicon-photonic platforms in state-of-the-art CMOS SOI processes. Optics express 26, 10 (2018), 13106–13121.
- Cost-effective design of scalable high-performance systems using active and passive interposers. In 2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD). IEEE, 728–735.
- Statistical behavioral models of silicon ring resonators at a commercial CMOS foundry. IEEE Journal of Selected Topics in Quantum Electronics 26, 2 (2019), 1–10.
- A comparative analysis of front-end and back-end compatible silicon photonic on-chip interconnects. In 2016 ACM/IEEE International Workshop on System Level Interconnect Prediction (SLIP). IEEE, 1–8.
- Improving the reliability and energy-efficiency of high-bandwidth photonic NoC architectures with multilevel signaling. In 2017 Eleventh IEEE/ACM International Symposium on Networks-on-Chip (NOCS). IEEE, 1–8.
- POPSTAR: A robust modular optical NoC architecture for chiplet-based 3D integrated systems. In 2020 Design, Automation & Test in Europe Conference & Exhibition (DATE). IEEE, 1456–1461.
- Ultra-wide free spectral range, enhanced sensitivity, and removed mode splitting SOI optical ring resonator with dispersive metal nanodisks. Optics letters 40, 13 (2015), 2977–2980.
- PROTEUS: Rule-based self-adaptation in photonic NoCs for loss-aware co-management of laser power and performance. In 2020 14th IEEE/ACM International Symposium on Networks-on-Chip (NOCS). IEEE, 1–8.
- Scaledeep: A scalable compute architecture for learning and evaluating deep networks. In Proceedings of the 44th Annual International Symposium on Computer Architecture. 13–26.
- Characterization and applications of spatial variation models for silicon microring-based optical transceivers. In 2020 57th ACM/IEEE Design Automation Conference (DAC). IEEE, 1–6.
- Energy-efficient channel alignment of dwdm silicon photonic transceivers. In 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE). IEEE, 601–604.
- A versatile silicon-silicon nitride photonics platform for enhanced functionalities and applications. Applied Sciences 9, 2 (2019), 255.
- Microresonator Kerr frequency combs with high conversion efficiency. Laser & Photonics Reviews 11, 1 (2017), 1600276.
- Towards low-loss waveguides in SOI and Ge-on-SOI for mid-IR sensing. Journal of Physics Communications 2, 4 (2018), 045029.
- Compact, submilliwatt, 2×\times× 2 silicon thermo-optic switch based on photonic crystal nanobeam cavities. Photonics Research 5, 2 (2017), 108–112.
Sponsor
Paper Prompts
Sign up for free to create and run prompts on this paper using GPT-5.
Top Community Prompts
Collections
Sign up for free to add this paper to one or more collections.