Papers
Topics
Authors
Recent
2000 character limit reached

Characterizing Soft-Error Resiliency in Arm's Ethos-U55 Embedded Machine Learning Accelerator

Published 14 Apr 2024 in cs.AR and cs.AI | (2404.09317v1)

Abstract: As Neural Processing Units (NPU) or accelerators are increasingly deployed in a variety of applications including safety critical applications such as autonomous vehicle, and medical imaging, it is critical to understand the fault-tolerance nature of the NPUs. We present a reliability study of Arm's Ethos-U55, an important industrial-scale NPU being utilised in embedded and IoT applications. We perform large scale RTL-level fault injections to characterize Ethos-U55 against the Automotive Safety Integrity Level D (ASIL-D) resiliency standard commonly used for safety-critical applications such as autonomous vehicles. We show that, under soft errors, all four configurations of the NPU fall short of the required level of resiliency for a variety of neural networks running on the NPU. We show that it is possible to meet the ASIL-D level resiliency without resorting to conventional strategies like Dual Core Lock Step (DCLS) that has an area overhead of 100%. We achieve so through selective protection, where hardware structures are selectively protected (e.g., duplicated, hardened) based on their sensitivity to soft errors and their silicon areas. To identify the optimal configuration that minimizes the area overhead while meeting the ASIL-D standard, the main challenge is the large search space associated with the time-consuming RTL simulation. To address this challenge, we present a statistical analysis tool that is validated against Arm silicon and that allows us to quickly navigate hundreds of billions of fault sites without exhaustive RTL fault injections. We show that by carefully duplicating a small fraction of the functional blocks and hardening the Flops in other blocks meets the ASIL-D safety standard while introducing an area overhead of only 38%.

Definition Search Book Streamline Icon: https://streamlinehq.com
References (89)
  1. “Arm Ethos-U65, howpublished = https://www.arm.com/products/silicon-ip-cpu/ethos/ethos-u65.”
  2. “Cortex-M0, howpublished = https://developer.arm.com/documentation/ddi0432/latest/.”
  3. “Cortex-M1, howpublished = https://developer.arm.com/documentation/ddi0413/latest/.”
  4. “Nvdla open source project, howpublished = http://nvdla.org/primer.html, note = Accessed: 2018.”
  5. “NXP’s i.MX 93 Applications Processor Family Powers a New Era of Secure Edge Intelligence, howpublished = https://www.globenewswire.com/news-release/2021/11/09/2329931/0/en/nxp-s-i-mx-93-applications-processor-family-powers-a-new-era-of-secure-edge-intelligence.html.”
  6. N. Ahrenhold, H. Helmke, T. Mühlhausen, O. Ohneiser, M. Kleinert, H. Ehr, L. Klamert, and J. Zuluaga-Gómez, “Validating automatic speech recognition and understanding for pre-filling radar labels—increasing safety while reducing air traffic controllers’ workload,” Aerospace, vol. 10, no. 6, p. 538, 2023.
  7. ARM. Arm ethos-u55 npu technical reference manual. [Online]. Available: https://developer.arm.com/documentation/102420/0200/Functional-description/Functional-blocks-
  8. ARM. Arm micronpu ethos-u55. [Online]. Available: https://www.arm.com/products/silicon-ip-cpu/ethos/ethos-u55
  9. ARM-Software. Arm model zoo. [Online]. Available: https://github.com/ARM-software/ML-zoo#object-detection
  10. T. Calin, M. Nicolaidis, and R. Velazco, “Upset hardened memory design for submicron cmos technology,” IEEE Transactions on nuclear science, vol. 43, no. 6, pp. 2874–2878, 1996.
  11. J. Cao, L. Xu, B. L. Bhuva, S.-J. Wen, R. Wong, B. Narasimham, and L. W. Massengill, “Alpha particle soft-error rates for d-ff designs in 16-nm and 7-nm bulk finfet technologies,” in 2019 IEEE International Reliability Physics Symposium (IRPS).   IEEE, 2019, pp. 1–5.
  12. A. Chan, N. Narayanan, A. Gujarati, K. Pattabiraman, and S. Gopalakrishnan, “Understanding the resilience of neural network ensembles against faulty training data,” in 2021 IEEE 21st International Conference on Software Quality, Reliability and Security (QRS).   IEEE, 2021, pp. 1100–1111.
  13. C.-L. Chen and M. Hsiao, “Error-correcting codes for semiconductor memory applications: A state-of-the-art review,” IBM Journal of Research and development, vol. 28, no. 2, pp. 124–134, 1984.
  14. T. Chen, Z. Du, N. Sun, J. Wang, C. Wu, Y. Chen, and O. Temam, “Diannao: A small-footprint high-throughput accelerator for ubiquitous machine-learning,” ACM SIGARCH Computer Architecture News, vol. 42, no. 1, pp. 269–284, 2014.
  15. Y.-H. Chen, T. Krishna, J. S. Emer, and V. Sze, “Eyeriss: An energy-efficient reconfigurable accelerator for deep convolutional neural networks,” IEEE journal of solid-state circuits, vol. 52, no. 1, pp. 127–138, 2016.
  16. Y. Chen, T. Luo, S. Liu, S. Zhang, L. He, J. Wang, L. Li, T. Chen, Z. Xu, N. Sun et al., “Dadiannao: A machine-learning supercomputer,” in 2014 47th Annual IEEE/ACM International Symposium on Microarchitecture.   IEEE, 2014, pp. 609–622.
  17. Z. Chen, N. Narayanan, B. Fang, G. Li, K. Pattabiraman, and N. DeBardeleben, “Tensorfi: A flexible fault injection framework for tensorflow applications,” in 2020 IEEE 31st International Symposium on Software Reliability Engineering (ISSRE).   IEEE, 2020, pp. 426–435.
  18. W. Choi, D. Shin, J. Park, and S. Ghosh, “Sensitivity based error resilient techniques for energy efficient deep neural network accelerators,” in Proceedings of the 56th Annual Design Automation Conference 2019, 2019, pp. 1–6.
  19. J. A. Clemente, W. Mansour, R. Ayoubi, F. Serrano, H. Mecha, H. Ziade, W. El Falou, and R. Velazco, “Hardware implementation of a fault-tolerant hopfield neural network on fpgas,” Neurocomputing, vol. 171, pp. 1606–1609, 2016.
  20. R. Collobert, C. Puhrsch, and G. Synnaeve, “Wav2letter: an end-to-end convnet-based speech recognition system,” arXiv preprint arXiv:1609.03193, 2016.
  21. D. A. G. G. de Oliveira, L. L. Pilla, T. Santini, and P. Rech, “Evaluation and mitigation of radiation-induced soft errors in graphics processing units,” IEEE Transactions on Computers, vol. 65, no. 3, pp. 791–804, 2015.
  22. V. Degalahal, N. Vijaykrishnan, and M. J. Irwin, “Analyzing soft errors in leakage optimized sram design,” in 16th International Conference on VLSI Design, 2003. Proceedings.   IEEE, 2003, pp. 227–233.
  23. J. Deng, W. Dong, R. Socher, L.-J. Li, K. Li, and L. Fei-Fei, “Imagenet: A large-scale hierarchical image database,” in 2009 IEEE conference on computer vision and pattern recognition.   Ieee, 2009, pp. 248–255.
  24. J. Devlin, M.-W. Chang, K. Lee, and K. Toutanova, “Bert: Pre-training of deep bidirectional transformers for language understanding,” arXiv preprint arXiv:1810.04805, 2018.
  25. F. F. dos Santos, P. F. Pimenta, C. Lunardi, L. Draghetti, L. Carro, D. Kaeli, and P. Rech, “Analyzing and increasing the reliability of convolutional neural networks on gpus,” IEEE Transactions on Reliability, vol. 68, no. 2, pp. 663–677, 2018.
  26. S. Feng, S. Gupta, A. Ansari, and S. Mahlke, “Shoestring: probabilistic soft error reliability on the cheap,” ACM SIGARCH Computer Architecture News, vol. 38, no. 1, pp. 385–396, 2010.
  27. Y. Gan, Y. Qiu, J. Leng, M. Guo, and Y. Zhu, “Ptolemy: Architecture support for robust deep learning,” in 2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).   IEEE, 2020, pp. 241–255.
  28. Y. Gan, P. Whatmough, J. Leng, B. Yu, S. Liu, and Y. Zhu, “Braum: Analyzing and protecting autonomous machine software stack,” in 2022 IEEE 33rd International Symposium on Software Reliability Engineering (ISSRE).   IEEE, 2022, pp. 85–96.
  29. F. Gertz and G. Fleutsch, “Applications of deep learning in medical device manufacturing,” 2020.
  30. B. Gill, N. Seifert, and V. Zia, “Comparison of alpha-particle and neutron-induced combinational and sequential logic error rates at the 32nm technology node,” in 2009 IEEE international reliability physics symposium.   IEEE, 2009, pp. 199–205.
  31. R. Giterman, L. Atias, and A. Teman, “Area and energy-efficient complementary dual-modular redundancy dynamic memory for space applications,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 25, no. 2, pp. 502–509, 2016.
  32. M. A. Hanif and M. Shafique, “Dependable deep learning: Towards cost-efficient resilience of deep neural network accelerators against soft errors and permanent faults,” in 2020 IEEE 26th International Symposium on On-Line Testing and Robust System Design (IOLTS).   IEEE, 2020, pp. 1–4.
  33. R. Harrington, J. Kauppila, J. Maharrey, T. Haeffner, A. Sternberg, E. Zhang, D. Ball, P. Nsengiyumva, B. Bhuva, and L. Massengill, “Empirical modeling of finfet seu cross sections across supply voltage,” IEEE Transactions on Nuclear Science, vol. 66, no. 7, pp. 1427–1432, 2019.
  34. K. He, X. Zhang, S. Ren, and J. Sun, “Deep residual learning for image recognition,” in Proceedings of the IEEE conference on computer vision and pattern recognition, 2016, pp. 770–778.
  35. Y. He, P. Balaprakash, and Y. Li, “Fidelity: Efficient resilience analysis framework for deep learning accelerators,” in 2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).   IEEE, 2020, pp. 270–281.
  36. L.-H. Hoang, M. A. Hanif, and M. Shafique, “Ft-clipact: Resilience analysis of deep neural networks and improving their fault tolerance using clipped activation,” in 2020 Design, Automation & Test in Europe Conference & Exhibition (DATE).   IEEE, 2020, pp. 1241–1246.
  37. J. Hosang, M. Omran, R. Benenson, and B. Schiele, “Taking a deeper look at pedestrians,” in Proceedings of the IEEE conference on computer vision and pattern recognition, 2015, pp. 4073–4082.
  38. A. G. Howard, M. Zhu, B. Chen, D. Kalenichenko, W. Wang, T. Weyand, M. Andreetto, and H. Adam, “Mobilenets: Efficient convolutional neural networks for mobile vision applications,” arXiv preprint arXiv:1704.04861, 2017.
  39. K. Huang, P. H. Siegel, and A. Jiang, “Functional error correction for robust neural networks,” IEEE Journal on Selected Areas in Information Theory, vol. 1, no. 1, pp. 267–276, 2020.
  40. Y. Ibrahim, H. Wang, and K. Adam, “Analyzing the reliability of convolutional neural networks on gpus: Googlenet as a case study,” in 2020 International Conference on Computing and Information Technology (ICCIT-1441).   IEEE, 2020, pp. 1–6.
  41. Y. Ibrahim, H. Wang, M. Bai, Z. Liu, J. Wang, Z. Yang, and Z. Chen, “Soft error resilience of deep residual networks for object recognition,” IEEE Access, vol. 8, pp. 19 490–19 503, 2020.
  42. S. Jagannathan, T. Loveless, B. Bhuva, S.-J. Wen, R. Wong, M. Sachdev, D. Rennie, and L. Massengill, “Single-event tolerant flip-flop design in 40-nm bulk cmos technology,” IEEE Transactions on Nuclear Science, vol. 58, no. 6, pp. 3033–3037, 2011.
  43. S. M. Jahinuzzaman and R. Islam, “Tspc-dice: A single phase clock high performance seu hardened flip-flop,” in 2010 53rd IEEE International Midwest Symposium on Circuits and Systems.   IEEE, 2010, pp. 73–76.
  44. S. M. Jahinuzzaman, D. J. Rennie, and M. Sachdev, “A soft error tolerant 10t sram bit-cell with differential read capability,” IEEE Transactions on Nuclear Science, vol. 56, no. 6, pp. 3768–3773, 2009.
  45. X. Jiao, M. Luo, J.-H. Lin, and R. K. Gupta, “An assessment of vulnerability of hardware neural networks to dynamic voltage and temperature variations,” in 2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).   IEEE, 2017, pp. 945–950.
  46. N. P. Jouppi, C. Young, N. Patil, D. Patterson, G. Agrawal, R. Bajwa, S. Bates, S. Bhatia, N. Boden, A. Borchers et al., “In-datacenter performance analysis of a tensor processing unit,” in Proceedings of the 44th annual international symposium on computer architecture, 2017, pp. 1–12.
  47. M. Kleinert, H. Helmke, S. Shetty, O. Ohneiser, H. Ehr, A. Prasad, P. Motlicek, and J. Harfmann, “Automated interpretation of air traffic control communication: The journey from spoken words to a deeper understanding of the meaning,” in 2021 IEEE/AIAA 40th Digital Avionics Systems Conference (DASC).   IEEE, 2021, pp. 1–9.
  48. J. E. Knudsen and L. T. Clark, “An area and power efficient radiation hardened by design flip-flop,” IEEE Transactions on Nuclear Science, vol. 53, no. 6, pp. 3392–3399, 2006.
  49. J. Kocić, N. Jovičić, and V. Drndarević, “An end-to-end deep neural network for autonomous driving designed for embedded automotive platforms,” Sensors, vol. 19, no. 9, p. 2064, 2019.
  50. A. Krizhevsky, G. Hinton et al., “Learning multiple layers of features from tiny images,” 2009.
  51. L. Lantz, “Soft errors induced by alpha particles,” IEEE Transactions on Reliability, vol. 45, no. 2, pp. 174–179, 1996.
  52. M. Lee, K. Hwang, and W. Sung, “Fault tolerance analysis of digital feed-forward deep neural networks,” in 2014 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP).   IEEE, 2014, pp. 5031–5035.
  53. R. Leveugle, A. Calvez, P. Maistri, and P. Vanhauwaert, “Statistical fault injection: Quantified error and confidence,” in 2009 Design, Automation & Test in Europe Conference & Exhibition.   IEEE, 2009, pp. 502–506.
  54. G. Li, S. K. S. Hari, M. Sullivan, T. Tsai, K. Pattabiraman, J. Emer, and S. W. Keckler, “Understanding error propagation in deep learning neural network (dnn) accelerators and applications,” in Proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis, 2017, pp. 1–12.
  55. Y.-Q. Li, H.-B. Wang, R. Liu, L. Chen, I. Nofal, S.-T. Shi, A.-L. He, G. Guo, S. Baeg, S.-J. Wen et al., “A quatro-based 65-nm flip-flop circuit for soft-error resilience,” IEEE Transactions on Nuclear Science, vol. 64, no. 6, pp. 1554–1561, 2017.
  56. Z. Li, C. Elash, C. Jin, L. Chen, S.-J. Wen, R. Fung, J. Xing, S. Shi, Z. W. Yang, and B. L. Bhuva, “Seu performance of schmitt-trigger-based flip-flops at the 22-nm fd soi technology node,” Microelectronics Reliability, vol. 146, p. 115033, 2023.
  57. N. Mahatme, N. Gaspard, T. Assis, S. Jagannathan, I. Chatterjee, T. Loveless, B. Bhuva, L. W. Massengill, S. Wen, and R. Wong, “Impact of technology scaling on the combinational logic soft error rate,” in 2014 IEEE international reliability physics symposium.   IEEE, 2014, pp. 5F–2.
  58. A. Mahmoud, N. Aggarwal, A. Nobbe, J. R. S. Vicarte, S. V. Adve, C. W. Fletcher, I. Frosio, and S. K. S. Hari, “Pytorchfi: A runtime perturbation tool for dnns,” in 2020 50th Annual IEEE/IFIP International Conference on Dependable Systems and Networks Workshops (DSN-W).   IEEE, 2020, pp. 25–31.
  59. A. Mahmoud, S. K. S. Hari, C. W. Fletcher, S. V. Adve, C. Sakr, N. Shanbhag, P. Molchanov, M. B. Sullivan, T. Tsai, and S. W. Keckler, “Optimizing selective protection for cnn resilience,” in 32nd IEEE International Symposium on Software Reliability Engineering, ISSRE 2021.   IEEE Computer Society, 2021, pp. 127–138.
  60. B. Narasimham, S. Gupta, D. Reed, J. Wang, N. Hendrickson, and H. Taufique, “Scaling trends and bias dependence of the soft error rate of 16 nm and 7 nm finfet srams,” in 2018 IEEE international reliability physics symposium (IRPS).   IEEE, 2018, pp. 4C–1.
  61. R. Naseer, Y. Boulghassoul, J. Draper, S. DasGupta, and A. Witulski, “Critical charge characterization for soft error rate modeling in 90nm sram,” in 2007 IEEE International Symposium on Circuits and Systems.   IEEE, 2007, pp. 1879–1882.
  62. M. Nikseresht, J. Vankeirsbilck, D. Pissoort, and J. Boydens, “A selective soft error protection method for cots processor-based systems,” in 2021 XXX International Scientific Conference Electronics (ET).   IEEE, 2021, pp. 1–5.
  63. J. Oppenlaender, “The creativity of text-to-image generation,” in Proceedings of the 25th International Academic Mindtrek Conference, 2022, pp. 192–202.
  64. V. Panayotov, G. Chen, D. Povey, and S. Khudanpur, “Librispeech: an asr corpus based on public domain audio books,” in 2015 IEEE international conference on acoustics, speech and signal processing (ICASSP).   IEEE, 2015, pp. 5206–5210.
  65. G. Papadimitriou and D. Gizopoulos, “Demystifying the system vulnerability stack: Transient fault effects across the layers,” in 2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA).   IEEE, 2021, pp. 902–915.
  66. ——, “Avgi: Microarchitecture-driven, fast and accurate vulnerability assessment,” in 2023 IEEE International Symposium on High-Performance Computer Architecture (HPCA).   IEEE, 2023, pp. 935–948.
  67. A. Ramesh, M. Pavlov, G. Goh, S. Gray, C. Voss, A. Radford, M. Chen, and I. Sutskever, “Zero-shot text-to-image generation,” in International Conference on Machine Learning.   PMLR, 2021, pp. 8821–8831.
  68. B. Reagen, U. Gupta, L. Pentecost, P. Whatmough, S. K. Lee, N. Mulholland, D. Brooks, and G.-Y. Wei, “Ares: A framework for quantifying the resilience of deep neural networks,” in 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).   IEEE, 2018, pp. 1–6.
  69. R. L. Rech and P. Rech, “Reliability of google’s tensor processing units for embedded applications,” in 2022 Design, Automation & Test in Europe Conference & Exhibition (DATE).   IEEE, 2022, pp. 376–381.
  70. N. Seifert, V. Ambrose, B. Gill, Q. Shi, R. Allmon, C. Recchia, S. Mukherjee, N. Nassif, J. Krause, J. Pickholtz et al., “On the radiation-induced soft error performance of hardened sequential elements in advanced bulk cmos technologies,” in 2010 IEEE International Reliability Physics Symposium.   IEEE, 2010, pp. 188–197.
  71. N. Seifert, B. Gill, S. Jahinuzzaman, J. Basile, V. Ambrose, Q. Shi, R. Allmon, and A. Bramnik, “Soft error susceptibilities of 22 nm tri-gate devices,” IEEE Transactions on Nuclear Science, vol. 59, no. 6, pp. 2666–2673, 2012.
  72. N. Seifert, S. Jahinuzzaman, J. Velamala, R. Ascazubi, N. Patel, B. Gill, J. Basile, and J. Hicks, “Soft error rate improvements in 14-nm technology featuring second-generation 3d tri-gate transistors,” IEEE Transactions on Nuclear Science, vol. 62, no. 6, pp. 2570–2577, 2015.
  73. N. Seifert, P. Slankard, M. Kirsch, B. Narasimham, V. Zia, C. Brookreson, A. Vo, S. Mitra, B. Gill, and J. Maiz, “Radiation-induced soft error rates of advanced cmos bulk devices,” in 2006 IEEE International Reliability Physics Symposium Proceedings.   IEEE, 2006, pp. 217–225.
  74. A. Semiconductor, “Introducing the ensemble and crescendo families of fusion processors and microcontrollers.”
  75. P. Shivakumar, M. Kistler, S. W. Keckler, D. Burger, and L. Alvisi, “Modeling the effect of technology trends on the soft error rate of combinational logic,” in Proceedings International Conference on Dependable Systems and Networks.   IEEE, 2002, pp. 389–398.
  76. C. W. Slayman, “Cache and memory error detection, correction, and reduction techniques for terrestrial servers and workstations,” IEEE Transactions on Device and Materials Reliability, vol. 5, no. 3, pp. 397–404, 2005.
  77. V. Sridharan and D. R. Kaeli, “Eliminating microarchitectural dependency from architectural vulnerability,” in 2009 IEEE 15th International Symposium on High Performance Computer Architecture.   IEEE, 2009, pp. 117–128.
  78. Synopsys. What is asil? [Online]. Available: https://www.synopsys.com/automotive/what-is-asil.html#a
  79. Synopsys. Z01x functional safety assurance. [Online]. Available: https://www.synopsys.com/verification/simulation/z01x-functional-safety.html
  80. J. Teifel, “Self-voting dual-modular-redundancy circuits for single-event-transient mitigation,” IEEE Transactions on Nuclear Science, vol. 55, no. 6, pp. 3435–3439, 2008.
  81. H. Touvron, T. Lavril, G. Izacard, X. Martinet, M.-A. Lachaux, T. Lacroix, B. Rozière, N. Goyal, E. Hambro, F. Azhar et al., “Llama: Open and efficient foundation language models,” arXiv preprint arXiv:2302.13971, 2023.
  82. A. Tyagi, Y. Gan, S. Liu, B. Yu, P. Whatmough, and Y. Zhu, “Thales: Formulating and estimating architectural vulnerability factors for dnn accelerators,” arXiv preprint arXiv:2212.02649, 2022.
  83. Z. Wan, Y. Gan, B. Yu, S. Liu, A. Raychowdhury, and Y. Zhu, “Vpp: The vulnerability-proportional protection paradigm towards reliable autonomous machines,” in Proceedings of the 5th International Workshop on Domain Specific System Architecture (DOSSA), 2023, pp. 1–6.
  84. J. Wei, Y. Ibrahim, S. Qian, H. Wang, G. Liu, Q. Yu, R. Qian, and J. Shi, “Analyzing the impact of soft errors in vgg networks implemented on gpus,” Microelectronics Reliability, vol. 110, p. 113648, 2020.
  85. P. N. Whatmough, S. K. Lee, H. Lee, S. Rama, D. Brooks, and G.-Y. Wei, “14.3 a 28nm soc with a 1.2 ghz 568nj/prediction sparse deep-neural-network engine with> 0.1 timing error rate tolerance for iot applications,” in 2017 IEEE International Solid-State Circuits Conference (ISSCC).   IEEE, 2017, pp. 242–243.
  86. WikiChip. Fsd chip - tesla. [Online]. Available: https://en.wikichip.org/wiki/tesla_(car_company)/fsd_chip
  87. Y. Xiong, N. J. Pieper, A. T. Feeley, B. Narasimham, D. R. Ball, and B. L. Bhuva, “Single-event upset cross-section trends for d-ffs at the 5-nm and 7-nm bulk finfet technology nodes,” IEEE Transactions on Nuclear Science, 2022.
  88. J. Zhang, K. Rangineni, Z. Ghodsi, and S. Garg, “Thundervolt: enabling aggressive voltage underscaling and timing error resilience for energy efficient deep learning accelerators,” in Proceedings of the 55th Annual Design Automation Conference, 2018, pp. 1–6.
  89. Y. Zhu, V. J. Reddi, R. Adolf, S. Rama, B. Reagen, G.-Y. Wei, and D. Brooks, “Cognitive computing safety: the new horizon for reliability/the design and evolution of deep learning workloads,” IEEE Micro, vol. 37, no. 01, pp. 15–21, 2017.

Summary

We haven't generated a summary for this paper yet.

Whiteboard

Paper to Video (Beta)

Open Problems

We haven't generated a list of open problems mentioned in this paper yet.

Continue Learning

We haven't generated follow-up questions for this paper yet.

Collections

Sign up for free to add this paper to one or more collections.