Papers
Topics
Authors
Recent
Gemini 2.5 Flash
Gemini 2.5 Flash
169 tokens/sec
GPT-4o
7 tokens/sec
Gemini 2.5 Pro Pro
45 tokens/sec
o3 Pro
4 tokens/sec
GPT-4.1 Pro
38 tokens/sec
DeepSeek R1 via Azure Pro
28 tokens/sec
2000 character limit reached

Gate--Level Statistical Timing Analysis: Exact Solutions, Approximations and Algorithms (2401.03588v1)

Published 7 Jan 2024 in eess.SY, cs.AR, and cs.SY

Abstract: In this paper, the Statistical Static Timing Analysis (SSTA) is considered within the block--based approach. The statistical model of the logic gate delay propagation is systematically studied and the exact analytical solution is obtained, which is strongly non-Gaussian. The procedure of handling such (non-Gaussian) distributions is described and the corresponding algorithm for the critical path delay is outlined. Finally, the proposed approach is tested and compared with Monte Carlo simulations.

Definition Search Book Streamline Icon: https://streamlinehq.com
References (74)
  1. S. Sapatnekar, Timing (Springer-Verlag, 2004).
  2. S. H. Gerez, Algorithms for VLSI Design Automation (Wiley, 1998).
  3. D. Blaauw, K. Chopra, A. Srivastava, and L. Scheffer, “Statistical timing analysis: From basic principles to state of the art,” IEEE Trans. Comput.–Aided Des. Integr. Circuits Syst. 4 (2008), 10.1109/TCAD.2007.907047.
  4. C. Forzan and D. Pandini, “Statistical static timing analysis: A survey,” Integration, the VLSI Journal 42, 409–435 (2009).
  5. D. Lewis and H. Schmit, “Spatial Timing Analysis With Exact Propagation of Delay and Application to FPGA Performance,” IEEE Trans. Comput.–Aided Des. Integr. Circuits Syst. 64, 2153–2166 (2019).
  6. J. Freeley, D. Mishagli, T. Brazil, and E. Blokhina, “Statistical Simulations of Delay Propagation in Large Scale Circuits Using Graph Traversal and Kernel Function Decomposition,” in Proc. SMACD (2018).
  7. D. Mishagli, “RBF approximation for non-Gaussian delay propagation in VLSI: Source Code,” doi:10.5281/zenodo.374975 (2020).
  8. L. Pillage and R. Rohrer, “Asymptotic waveform evaluation for timing analysis,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 9, 352–366 (1990).
  9. E. Chiprout and M. S. Nakhla, Asymptotic Waveform Evaluation (Springer US, Boston, MA, 1994).
  10. M. R. C. M. Berkelaar, “Statistical delay calculation: A linear time method,” in Proceedings of TAU 97: ACM/IEEE International Workshop on Timing Issues in the Specification and Synthesis of Digital Systems (1997) pp. 15–24.
  11. C. E. Clark, “The Greatest of a Finite Set of Random Variables,” Oper. Res. 9, 145–162 (1961).
  12. E. T. A. F. Jacobs and M. R. C. M. Berkelaar, “Gate sizing using a statistical delay model,” in Proceedings Design, Automation and Test in Europe Conference and Exhibition 2000 (2000) pp. 283–290.
  13. S. Tsukiyama, M. Tanaka, and M. Fukui, “A new statistical static timing analyzer considering correlation between delays,” in Proceedings of TAU ’00: International Workshop on Timing Issues in the Specification and Synthesis of Digital Systems (2000) pp. 27–33.
  14. S. Tsukiyama, M. Tanaka, and M. Fukui, “A statistical static timing analysis considering correlations between delays,” in Proceedings of the ASP-DAC 2001 (2001) pp. 353–358.
  15. D. Azuma, S. Tsukiyama, and M. Fukui, ‘‘Approximating the maximum of Gaussians by a Gaussian mixture model for statistical designs,” in 2017 European Conference on Circuit Theory and Design (ECCTD) (2017).
  16. J. Chen, S. Cotofana, S. Grandhi, C. Spagnol, and E. Popovici, “Inverse Gaussian distribution based timing analysis of Sub-threshold CMOS circuits,” Microelectronics Reliability 55, 2754–2761 (2015).
  17. L. Jin, W. Fu, H. Yan, and L. Shi, “A Statistical Cell Delay Model for Estimating the 3σ𝜎\sigmaitalic_σ Delay by Matching Kurtosis,” IEEE Transactions on Circuits and Systems II: Express Briefs 69, 2932–2936 (2022).
  18. H. Chang and S. S. Sapatnekar, “Statistical timing analysis considering spatial correlations using a single PERT-like traversal,” in Proc. ICCAD (2003) pp. 621–625.
  19. H. Chang and S. Sapatnekar, “Statistical timing analysis under spatial correlations,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 24, 1467–1482 (2005).
  20. H. Chang, V. Zolotov, S. Narayan, and C. Visweswariah, “Parameterized block-based statistical timing analysis with non-gaussian parameters, nonlinear delay functions,” in Proceedings of the 42nd Annual Design Automation Conference, DAC ’05 (Association for Computing Machinery, New York, NY, USA, 2005) pp. 71–76.
  21. C. Visweswariah, K. Ravindran, K. Kalafala, S. G. Walker, and S. Narayan, “First-Order Incremental Block-Based Statistical Timing Analysis,” in Proc. DAC (ACM, New York, NY, USA, 2004) pp. 331–336.
  22. C. Visweswariah, K. Ravindran, K. Kalafala, S. G. Walker, S. Narayan, D. K. Beece, J. Piaget, N. Venkateswaran, and J. G. Hemmett, “First-Order Incremental Block-Based Statistical Timing Analysis,” IEEE Trans. Comput.–Aided Des. Integr. Circuits Syst. 25, 2170–2180 (2006).
  23. A. Agarwal, D. Blaauw, V. Zolotov, S. Sundareswaran, M. Zhao, K. Gala, and R. Panda, “Statistical delay computation considering spatial correlations,” in Proceedings of the ASP-DAC Asia and South Pacific Design Automation Conference, 2003. (2003) pp. 271–276.
  24. J. Singh and S. Sapatnekar, “Statistical timing analysis with correlated non-Gaussian parameters using independent component analysis,” in 2006 43rd ACM/IEEE Design Automation Conference (2006) pp. 155–160.
  25. J. Singh and S. S. Sapatnekar, “A Scalable Statistical Static Timing Analyzer Incorporating Correlated Non-Gaussian and Gaussian Parameter Variations,” IEEE Trans. Comput.–Aided Des. Integr. Circuits Syst. 27, 160–173 (2008).
  26. R. Manduchi and J. Portilla, “Independent component analysis of textures,” in Proceedings of the Seventh IEEE International Conference on Computer Vision, Vol. 2 (1999) pp. 1054–1060 vol.2.
  27. A. Hyvärinen and E. Oja, “Independent component analysis: Algorithms and applications,” Neural Networks 13, 411–430 (2000).
  28. K. P. Murphy, Probabilistic Machine Learning: An Introduction, Adaptive Computation and Machine Learning Series (The MIT Press, Cambridge, Massachusetts, 2022).
  29. X. Li, J. Le, P. Gopalakrishnan, and L. T. Pileggi, “Asymptotic probability extraction for non-normal distributions of circuit performance,” in Proc. ICCAD (San Jose, CA, USA, 2004) pp. 2–9.
  30. L. Zhang, W. Chen, Y. Hu, J. A. Gubner, and C. C. P. Chen, “Correlation-preserved non-Gaussian statistical timing analysis with quadratic timing model,” in Proc. DAC (2005) pp. 83–88.
  31. V. Khandelwal and A. Srivastava, ‘‘A general framework for accurate statistical timing analysis considering correlations,” in Proc. DAC (2005) pp. 89–94.
  32. L. Cheng, J. Xiong, and L. He, “Non-Linear Statistical Static Timing Analysis for Non-Gaussian Variation Sources,” in 2007 44th ACM/IEEE Design Automation Conference (2007) pp. 250–255.
  33. L. Cheng, F. Gong, W. Xu, J. Xiong, L. He, and M. Sarrafzadeh, “Fourier Series Approximation for Max Operation in Non-Gaussian and Quadratic Statistical Static Timing Analysis,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems 20, 1383–1391 (2012).
  34. L. Cheng, J. Xiong, and L. He, “Non-Gaussian statistical timing analysis using second-order polynomial fitting,” in 2008 Asia and South Pacific Design Automation Conference (2008) pp. 298–303.
  35. L. Cheng, J. Xiong, and L. He, ‘‘Non-Gaussian Statistical Timing Analysis Using Second-Order Polynomial Fitting,” IEEE Trans. Comput.–Aided Des. Integr. Circuits Syst. 28, 130–140 (2009).
  36. M. Vijaykumar and V. Vasudevan, “Statistical static timing analysis using a skew-normal canonical delay model,” in 2014 Design, Automation Test in Europe Conference Exhibition (DATE) (2014).
  37. S. Ramprasath, M. Vijaykumar, and V. Vasudevan, “A Skew-Normal Canonical Model for Statistical Static Timing Analysis,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems 24, 2359–2368 (2016).
  38. A. Shebaita, J. Le, and L. Ding, “Generalized Moment Based Framework for Accurate and Efficient SSTA,” in Proc. TAU (2018) pp. 37–42.
  39. L. Zhang, J. Shao, and C. C.-P. Chen, “Non-Gaussian statistical parameter modeling for SSTA with confidence interval analysis,” in Proceedings of the 2006 International Symposium on Physical Design, ISPD ’06 (Association for Computing Machinery, New York, NY, USA, 2006) pp. 33–38.
  40. K. Chopra, B. Zhai, D. Blaauw, and D. Sylvester, “A New Statistical Max Operation for Propagating Skewness in Statistical Timing Analysis,” in 2006 IEEE/ACM International Conference on Computer Aided Design (2006) pp. 237–243.
  41. A. Azzalini, “A Class of Distributions Which Includes the Normal Ones,” Scandinavian Journal of Statistics 12, 171–178 (1985).
  42. A. Azzalini, The Skew-Normal and Related Families, Institute of Mathematical Statistics Monographs (Cambridge University Press, Cambridge, 2013).
  43. D. B. Owen, “Tables for Computing Bivariate Normal Probabilities,” The Annals of Mathematical Statistics 27, 1075–1090 (1956).
  44. L. Jin, W. Fu, Y. Zheng, and H. Yan, “A Precise Block-Based Statistical Timing Analysis with MAX Approximation Using Multivariate Adaptive Regression Splines,” in 2019 IEEE 13th International Conference on ASIC (ASICON) (2019).
  45. V. Zolotov, J. Xiong, S. Abbaspour, D. J. Hathaway, and C. Visweswariah, “Compact modeling of variational waveforms,” in Proc ICCAD (2007) pp. 705–712.
  46. H. Fatemi, S. Nazarian, and M. Pedram, “Statistical logic cell delay analysis using a current-based model,” in Proc. DAC (SanFrancisco, California, USA, 2006) pp. 253–256.
  47. I. Keller, K. Tseng, and N. Verghese, “A robust cell-level crosstalk delay change analysis,” in IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004. (2004) pp. 147–154.
  48. Y. Cao and L. Clark, “Mapping statistical process variations toward circuit performance variability: An analytical modeling approach,” in Proceedings. 42nd Design Automation Conference, 2005. (2005) pp. 658–663.
  49. T. Sakurai and A. Newton, “Alpha-power law MOSFET model and its applications to CMOS inverter delay and other formulas,” IEEE Journal of Solid-State Circuits 25, 584–594 (1990).
  50. M. Orshansky, J. Chen, and C. Hu, “Direct sampling methodology for statistical analysis of scaled CMOS technologies,” IEEE Transactions on Semiconductor Manufacturing 12, 403–408 (1999).
  51. K. Shinkai, M. Hashimoto, A. Kurokawa, and T. Onoye, “A Gate Delay Model Focusing on Current Fluctuation over Wide-Range of Process and Environmental Variability,” in 2006 IEEE/ACM International Conference on Computer Aided Design (2006) pp. 47–53.
  52. K.-i. Shinkai, M. Hashimoto, and T. Onoye, “A gate-delay model focusing on current fluctuation over wide range of process–voltage–temperature variations,” Integration 46, 345–358 (2013).
  53. D. Sinha, V. Zolotov, S. K. Raghunathan, M. H. Wood, and K. Kalafala, “Practical statistical static timing analysis with current source models,” in Proc. DAC (2016) pp. 1–6.
  54. V. Zolotov, D. Sinha, J. Hemmett, E. Foreman, C. Visweswariah, J. Xiong, J. Leitzen, and N. Venkateswaran, “Timing analysis with nonseparable statistical and deterministic variations,” in DAC Design Automation Conference 2012 (2012) pp. 1061–1066.
  55. P. Cao, Z. Liu, J. Guo, and J. Wu, “An Analytical Gate Delay Model in Near/Subthreshold Domain Considering Process Variation,” IEEE Access 7, 171515–171524 (2019).
  56. D. M. Harris, B. Keller, J. Karl, and S. Keller, “A transregional model for near-threshold circuits with application to minimum-energy operation,” in 2010 International Conference on Microelectronics (2010) pp. 64–67.
  57. S. Keller, D. M. Harris, and A. J. Martin, “A Compact Transregional Model for Digital CMOS Circuits Operating Near Threshold,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems 22, 2041–2053 (2014).
  58. S. M. Kay, Fundamentals of Statistical Signal Processing: Estimation Theory (Prentice Hall, 1993).
  59. J. D. Kelleher, B. Mac Namee, and A. D’Arcy, Fundamentals of Machine Learning for Predective Data Analytics (The MIT Press, 2015).
  60. The first known example of application of GMM for data modelling was done by Pearson in his 1894 paper[78].
  61. B. Everitt and D. Hand, Finite Mixture Distributions (Chapman & Hall, New York, 1981).
  62. D. Titterington, A. Smith, and U. Makov, Statistical Analysis of Finite Mixture Distributions, Wiley Series in Probability and Mathematical Statistics: Applied Probability and Statistics (Wiley, 1985).
  63. G. J. McLachlan and K. E. Basford, Mixture Models: Inference and Applications to Clustering, Statistics: A Series of Textbooks and Monographs, Vol. 84 (Taylor & Francis, 1987).
  64. D. Böhning, Computer-Assisted Analysis of Mixtures and Applications: Meta-analysis, Disease Mapping and Others, Monographs on Statistics and Applied Probability (Chapman & Hall/CRC, 1999).
  65. G. McLachlan and D. Peel, Finite Mixture Models, Wiley Series in Probability and Statistics (Wiley, 2000).
  66. S. Frühwirth-Schnatter, Finite Mixture and Markov Switching Models, Springer Series in Statistics (Springer New York, 2006).
  67. K. Mengersen, C. Robert, and M. Titterington, Mixtures: Estimation and Applications, Wiley Series in Probability and Statistics (Wiley, 2011).
  68. P. McNicholas, Mixture Model-Based Classification (CRC Press, 2016).
  69. G. J. McLachlan, S. X. Lee, and S. I. Rathnayake, “Finite Mixture Models,” Annual Review of Statistics and Its Application 6, 355–378 (2019).
  70. F. Pedregosa, G. Varoquaux, A. Gramfort, V. Michel, B. Thirion, O. Grisel, M. Blondel, P. Prettenhofer, R. Weiss, V. Dubourg, J. Vanderplas, A. Passos, D. Cournapeau, M. Brucher, M. Perrot, and E. Duchesnay, “Scikit-learn: Machine Learning in Python,” Journal of Machine Learning Research 12, 2825–2830 (2011).
  71. V. Champac and J. Garcia Gervacio, Timing Performance of Nanometer Digital Circuits Under Process Variations, 1st ed., Frontiers in Electronic Testing No. 39 (Springer International Publishing, Cham, 2018).
  72. J. Gregor, “An Algorithm for the Decomposition of a Distribution into Gaussian Components,” Biometrics 25, 79–93 (1969).
  73. S. Boyd and L. Vandenberghe, Convex Optimization (Cambridge University Press, Cambridge, UK, 2004).
  74. K. Pearson and O. M. F. E. Henrici, “Contributions to the mathematical theory of evolution,” Philosophical Transactions of the Royal Society of London. (A.) 185, 71–110 (1894).

Summary

We haven't generated a summary for this paper yet.