Papers
Topics
Authors
Recent
Gemini 2.5 Flash
Gemini 2.5 Flash
169 tokens/sec
GPT-4o
7 tokens/sec
Gemini 2.5 Pro Pro
45 tokens/sec
o3 Pro
4 tokens/sec
GPT-4.1 Pro
38 tokens/sec
DeepSeek R1 via Azure Pro
28 tokens/sec
2000 character limit reached

A Heterogeneous RISC-V based SoC for Secure Nano-UAV Navigation (2401.03531v1)

Published 7 Jan 2024 in cs.AR and cs.AI

Abstract: The rapid advancement of energy-efficient parallel ultra-low-power (ULP) ucontrollers units (MCUs) is enabling the development of autonomous nano-sized unmanned aerial vehicles (nano-UAVs). These sub-10cm drones represent the next generation of unobtrusive robotic helpers and ubiquitous smart sensors. However, nano-UAVs face significant power and payload constraints while requiring advanced computing capabilities akin to standard drones, including real-time Machine Learning (ML) performance and the safe co-existence of general-purpose and real-time OSs. Although some advanced parallel ULP MCUs offer the necessary ML computing capabilities within the prescribed power limits, they rely on small main memories (<1MB) and ucontroller-class CPUs with no virtualization or security features, and hence only support simple bare-metal runtimes. In this work, we present Shaheen, a 9mm2 200mW SoC implemented in 22nm FDX technology. Differently from state-of-the-art MCUs, Shaheen integrates a Linux-capable RV64 core, compliant with the v1.0 ratified Hypervisor extension and equipped with timing channel protection, along with a low-cost and low-power memory controller exposing up to 512MB of off-chip low-cost low-power HyperRAM directly to the CPU. At the same time, it integrates a fully programmable energy- and area-efficient multi-core cluster of RV32 cores optimized for general-purpose DSP as well as reduced- and mixed-precision ML. To the best of the authors' knowledge, it is the first silicon prototype of a ULP SoC coupling the RV64 and RV32 cores in a heterogeneous host+accelerator architecture fully based on the RISC-V ISA. We demonstrate the capabilities of the proposed SoC on a wide range of benchmarks relevant to nano-UAV applications. The cluster can deliver up to 90GOp/s and up to 1.8TOp/s/W on 2-bit integer kernels and up to 7.9GFLOp/s and up to 150GFLOp/s/W on 16-bit FP kernels.

Definition Search Book Streamline Icon: https://streamlinehq.com
References (58)
  1. M. O. Ojo, S. Giordano, G. Procissi, and I. N. Seitanidis, “A Review of Low-End, Middle-End, and High-End Iot Devices,” IEEE Access, vol. 6, pp. 70 528–70 554, 2018.
  2. L. Lamberti et al., “Tiny-PULP-Dronets: Squeezing Neural Networks for Faster and Lighter Inference on Multi-Tasking Autonomous Nano-Drones,” in 2022 IEEE 4th International Conference on Artificial Intelligence Circuits and Systems (AICAS), 2022, pp. 287–290.
  3. E. Cereda et al., “Deep Neural Network Architecture Search for Accurate Visual Pose Estimation aboard Nano-UAVs,” in 2023 IEEE International Conference on Robotics and Automation (ICRA), 2023, pp. 6065–6071.
  4. R. J. Wood et al., Progress on “Pico” Air Vehicles.   Cham: Springer International Publishing, 2017, pp. 3–19. [Online]. Available: https://doi.org/10.1007/978-3-319-29363-9_1
  5. STMicroeletronics, “STM32H7,” 2020, Accessed 30 July 2023. [Online]. Available: https://www.st.com/en/microcontrollers-microprocessors/stm32h7-series.html
  6. STMicroeletronics, “STM32F4,” 2020, Accessed 30 July 2023. [Online]. Available: https://www.st.com/en/microcontrollers-microprocessors/stm32f4-series.html
  7. GreenWavesTechnology, “GAP8/9,” 2023, Accessed 30 July 2023. [Online]. Available: https://greenwaves-technologies.com/low-power-processor/
  8. A. Di Mauro, M. Scherer, D. Rossi, and L. Benini, “Kraken: A Direct Event/Frame-Based Multi-sensor Fusion SoC for Ultra-Efficient Visual Processing in Nano-UAVs,” in 2022 IEEE Hot Chips 34 Symposium (HCS), 2022, pp. 1–19.
  9. I.-T. Lin et al., “2.5 A 28nm 142mW Motion-Control SoC for Autonomous Mobile Robots,” in 2023 IEEE International Solid- State Circuits Conference (ISSCC), 2023, pp. 1–3.
  10. A. Suleiman, Z. Zhang, L. Carlone, S. Karaman, and V. Sze, “Navion: A 2-mW Fully Integrated Real-Time Visual-Inertial Odometry Accelerator for Autonomous Navigation of Nano Drones,” IEEE Journal of Solid-State Circuits, vol. 54, no. 4, pp. 1106–1119, 2019.
  11. Y. Ju and J. Gu, “A Systolic Neural CPU Processor Combining Deep Learning and General-Purpose Computing With Enhanced Data Locality and End-to-End Performance,” IEEE Journal of Solid-State Circuits, vol. 58, no. 1, pp. 216–226, 2023.
  12. W. J. Dally, Y. Turakhia, and S. Han, “Domain-Specific Hardware Accelerators,” Commun. ACM, vol. 63, no. 7, p. 48–57, jun 2020. [Online]. Available: https://doi.org/10.1145/3361682
  13. P. Tsiotras, D. Jung, and E. Bakolas, “Multiresolution hierarchical path-planning for small UAVs using wavelet decompositions,” Journal of Intelligent & Robotic Systems, vol. 66, pp. 505–522, 2012.
  14. A. Khadka, B. Fick, A. Afshar, M. Tavakoli, and J. Baqersad, “Non-contact vibration monitoring of rotating wind turbines using a semi-autonomous UAV,” Mechanical Systems and Signal Processing, vol. 138, p. 106446, 2020.
  15. D. Amodei, C. Olah, J. Steinhardt, P. Christiano, J. Schulman, and D. Mané, “Concrete Problems in AI Safety,” arXiv preprint arXiv:1606.06565, 2016.
  16. D. Nadalini, M. Rusci, G. Tagliavini, L. Ravaglia, L. Benini, and F. Conti, “PULP-TrainLib: Enabling On-Device Training for RISC-V Multi-core MCUs Through Performance-Driven Autotuning,” in Embedded Computer Systems: Architectures, Modeling, and Simulation.   Cham: Springer International Publishin, 2022, pp. 200–216.
  17. P. Foehn et al., “Agilicious: Open-source and open-hardware agile quadrotor for vision-based flight,” Science Robotics, vol. 7, no. 67, p. eabl6259, 2022.
  18. B. Sá, L. Valente, J. Martins, D. Rossi, L. Benini, and S. Pinto, “CVA6 RISC-V Virtualization: Architecture, Microarchitecture, and Design Space Exploration,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 31, no. 11, pp. 1713–1726, 2023.
  19. M. Schneider, A. Dhar, I. Puddu, K. Kostiainen, and S. Čapkun, “Composite Enclaves: Towards Disaggregated Trusted Execution,” IACR Transactions on Cryptographic Hardware and Embedded Systems, vol. 2022, no. 1, p. 630–656, Nov. 2021.
  20. N. Wistoff, M. Schneider, F. K. Gürkaynak, G. Heiser, and L. Benini, “Systematic Prevention of On-Core Timing Channels by Full Temporal Partitioning,” IEEE Transactions on Computers, 2022.
  21. B. John, “HyperRAM as a low pin-count expansion memory for embedded systems,” 2020, Accessed 30 July 2023. [Online]. Available: https://www.infineon.com/
  22. A. Das, P. Kol, C. Lundberg, K. Doelling, H. E. Sevil, and F. Lewis, “A Rapid Situational Awareness Development Framework for Heterogeneous Manned-Unmanned Teams,” in NAECON 2018 - IEEE National Aerospace and Electronics Conference, 2018, pp. 417–424.
  23. B. Forsberg, D. Palossi, A. Marongiu, and L. Benini, “GPU-Accelerated Real-Time Path Planning and the Predictable Execution Model,” Procedia Computer Science, vol. 108, pp. 2428–2432, 2017, international Conference on Computational Science, ICCS 2017, 12-14 June 2017, Zurich, Switzerland. [Online]. Available: https://www.sciencedirect.com/science/article/pii/S1877050917308256
  24. S. A. Quintero and J. P. Hespanha, “Vision-based target tracking with a small UAV: Optimization-based control strategies,” Control Engineering Practice, vol. 32, pp. 28–42, 2014. [Online]. Available: https://www.sciencedirect.com/science/article/pii/S0967066114001774
  25. Pixhawk, “PX4,” 2023, Accessed 30 July 2023. [Online]. Available: https://pixhawk.org/standards/
  26. M. Idrissi, M. Salami, and F. Annaz, “A Review of Quadrotor Unmanned Aerial Vehicles: Applications, Architectural Design and Control Algorithms,” Journal of Intelligent & Robotic Systems, vol. 104, no. 2, p. 22, Jan 2022. [Online]. Available: https://doi.org/10.1007/s10846-021-01527-7
  27. C. Budaciu, N. Botezatu, M. Kloetzer, and A. Burlacu, “On the Evaluation of the Crazyflie Modular Quadcopter System,” in 2019 24th IEEE International Conference on Emerging Technologies and Factory Automation (ETFA), 2019, pp. 1189–1195.
  28. O. H. Zekry, T. Attia, A. T. Hafez, and M. M. Ashry, “PID Trajectory Tracking Control of Crazyflie Nanoquadcopter Based on Genetic Algorithm,” in 2023 IEEE Aerospace Conference, 2023, pp. 1–8.
  29. NVIDIA, “NVIDIA Jetson TX2,” 2023, Accessed 30 July 2023. [Online]. Available: https://developer.nvidia.com/embedded/jetson-tx2
  30. Intel, “Intel Atom x7-E3950,” 2020, Accessed 30 July 2023. [Online]. Available: https://www.intel.com/content/www/us/en/products/sku/96488/intel-atom-x7e3950-processor-2m-cache-up-to-2-00-ghz/specifications.html
  31. T. Jia et al., “A 12nm Agile-Designed SoC for Swarm-Based Perception with Heterogeneous IP Blocks, a Reconfigurable Memory Hierarchy, and an 800MHz Multi-Plane NoC,” in ESSCIRC 2022- IEEE 48th European Solid State Circuits Conference (ESSCIRC), 2022, pp. 269–272.
  32. C.-H. Lin et al., “7.1 A 3.4-to-13.3TOPS/W 3.6TOPS Dual-Core Deep-Learning Accelerator for Versatile AI Applications in 7nm 5G Smartphone SoC,” in 2020 IEEE International Solid-State Circuits Conference - (ISSCC), 2020, pp. 134–136.
  33. C. Schmidt et al., “An Eight-Core 1.44-GHz RISC-V Vector Processor in 16-nm FinFET,” IEEE Journal of Solid-State Circuits, vol. 57, no. 1, pp. 140–152, 2022.
  34. A. Ottaviano, T. Benz, P. Scheffler, and L. Benini, “Cheshire: A lightweight, linux-capable risc-v host platform for domain-specific accelerator plug-in,” IEEE Transactions on Circuits and Systems II: Express Briefs, vol. 70, no. 10, pp. 3777–3781, 2023.
  35. Etron, “256Mb High Bandwidth RPC DRAM,” https://etronamerica.com/wp-content/uploads/2019/05/EM6GA16LGDABMACAEA-RPC-DRAM_Rev.-1.0.pdf, 2022.
  36. Bitcraze, “Crazyflie,” 2023, Accessed 30 July 2023. [Online]. Available: https://www.bitcraze.io/products/crazyflie-2-1/
  37. G. Shi, W. Hönig, Y. Yue, and S.-J. Chung, “Neural-Swarm: Decentralized Close-Proximity Multirotor Control Using Learned Interactions,” in 2020 IEEE International Conference on Robotics and Automation (ICRA), 2020, pp. 3241–3247.
  38. F. Candan, A. Beke, and T. Kumbasar, “Design and Deployment of Fuzzy PID Controllers to the nano quadcopter Crazyflie 2.0,” in 2018 Innovations in Intelligent Systems and Applications (INISTA), 2018, pp. 1–6.
  39. B. Nassi, R. Bitton, R. Masuoka, A. Shabtai, and Y. Elovici, “SoK: Security and Privacy in the Age of Commercial Drones,” in 2021 IEEE Symposium on Security and Privacy (SP), 2021, pp. 1434–1451.
  40. J.-H. Yoon and A. Raychowdhury, “31.1 A 65nm 8.79TOPS/W 23.82mW Mixed-Signal Oscillator-Based NeuroSLAM Accelerator for Applications in Edge Robotics,” in 2020 IEEE International Solid- State Circuits Conference - (ISSCC), 2020, pp. 478–480.
  41. Bitcraze, “AI-Deck,” 2023, Accessed 30 July 2023. [Online]. Available: https://www.bitcraze.io/products/ai-deck/
  42. G. Gallego et al., “Event-Based Vision: A Survey,” IEEE Transactions on Pattern Analysis and Machine Intelligence, vol. 44, no. 01, pp. 154–180, jan 2022.
  43. ROS, “Robot Operating System,” 2022, Accessed 30 July 2023. [Online]. Available: https://www.ros.org/
  44. OpenHW, “CVA6,” Github repository, 2023. [Online]. Available: https://github.com/openhwgroup/cva6
  45. Y. Yarom, “Mastik: A micro-architectural side-channel toolkit,” 2016, Accessed 30 July 2023. [Online]. Available: https://cs.adelaide.edu.au/~yval/Mastik/Mastik.pdf
  46. Q. Ge, “Principled elimination of microarchitectural timing channels through operating-system enforced time protection,” Ph.D. dissertation, UNSW Sydney, 2019.
  47. Sel4, “Timing channel benchmarking tool,” Github repository, 2023. [Online]. Available: https://github.com/SEL4PROJ/channel-bench
  48. ARM, “AMBA AXI Protocol Specification,” https://developer.arm.com/documentation/ihi0022/j/?lang=en, 2022.
  49. A. Burrello, A. Garofalo, N. Bruschi, G. Tagliavini, D. Rossi, and F. Conti, “DORY: Automatic End-to-End Deployment of Real-World DNNs on Low-Cost IoT MCUs,” IEEE Transactions on Computers, vol. 70, no. 8, pp. 1253–1268, 2021.
  50. A. Nadalini et al., “A 3 TOPS/W RISC-V Parallel Cluster for Inference of Fine-Grain Mixed-Precision Quantized Neural Networks,” in 2023 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 2023.
  51. OpenHW, “CV32E40P,” 2023, Accessed 30 July 2023. [Online]. Available: https://github.com/openhwgroup/cv32e40p
  52. A. Kurth, B. Forsberg, and L. Benini, “HEROv2: Full-Stack Open-Source Research Platform for Heterogeneous Computing,” IEEE Trans. Parallel Distrib. Syst., vol. 33, no. 12, p. 4368–4382, dec 2022. [Online]. Available: https://doi.org/10.1109/TPDS.2022.3189390
  53. L. Valente et al., “HULK-V: a Heterogeneous Ultra-low-power Linux capable RISC-V SoC,” in 2023 Design, Automation & Test in Europe Conference & Exhibition (DATE), 2023, pp. 1–6.
  54. A. G. Howard et al., “MobileNets: Efficient Convolutional Neural Networks for Mobile Vision Applications,” 2017.
  55. Z. Dong et al., “HAWQ: Hessian AWare Quantization of Neural Networks With Mixed-Precision,” in Proceedings of the IEEE/CVF International Conference on Computer Vision, 2019, pp. 293–302.
  56. P. Platform, “TransLib,” 2023, Accessed 30 July 2023. [Online]. Available: https://github.com/ahmad-mirsalari/TransLib
  57. K. He, X. Zhang, S. Ren, and J. Sun, “Deep Residual Learning for Image Recognition,” 2015.
  58. G. M. Amdahl, “Validity of the Single Processor Approach to Achieving Large Scale Computing Capabilities,” in Proceedings of the April 18-20, 1967, Spring Joint Computer Conference, ser. AFIPS ’67 (Spring).   New York, NY, USA: Association for Computing Machinery, 1967, p. 483–485. [Online]. Available: https://doi.org/10.1145/1465482.1465560
Citations (2)

Summary

We haven't generated a summary for this paper yet.

X Twitter Logo Streamline Icon: https://streamlinehq.com