Papers
Topics
Authors
Recent
Gemini 2.5 Flash
Gemini 2.5 Flash
97 tokens/sec
GPT-4o
53 tokens/sec
Gemini 2.5 Pro Pro
44 tokens/sec
o3 Pro
5 tokens/sec
GPT-4.1 Pro
47 tokens/sec
DeepSeek R1 via Azure Pro
28 tokens/sec
2000 character limit reached

The Dawn of AI-Native EDA: Opportunities and Challenges of Large Circuit Models (2403.07257v2)

Published 12 Mar 2024 in cs.AR and cs.ET

Abstract: Within the Electronic Design Automation (EDA) domain, AI-driven solutions have emerged as formidable tools, yet they typically augment rather than redefine existing methodologies. These solutions often repurpose deep learning models from other domains, such as vision, text, and graph analytics, applying them to circuit design without tailoring to the unique complexities of electronic circuits. Such an AI4EDA approach falls short of achieving a holistic design synthesis and understanding, overlooking the intricate interplay of electrical, logical, and physical facets of circuit data. This paper argues for a paradigm shift from AI4EDA towards AI-native EDA, integrating AI at the core of the design process. Pivotal to this vision is the development of a multimodal circuit representation learning technique, poised to provide a comprehensive understanding by harmonizing and extracting insights from varied data sources, such as functional specifications, RTL designs, circuit netlists, and physical layouts. We champion the creation of large circuit models (LCMs) that are inherently multimodal, crafted to decode and express the rich semantics and structures of circuit data, thus fostering more resilient, efficient, and inventive design methodologies. Embracing this AI-native philosophy, we foresee a trajectory that transcends the current innovation plateau in EDA, igniting a profound shift-left in electronic design methodology. The envisioned advancements herald not just an evolution of existing EDA tools but a revolution, giving rise to novel instruments of design tools that promise to radically enhance design productivity and inaugurate a new epoch where the optimization of circuit performance, power, and area (PPA) is achieved not incrementally, but through leaps that redefine the benchmarks of electronic systems' capabilities.

Definition Search Book Streamline Icon: https://streamlinehq.com
References (232)
  1. R. Bommasani, D. A. Hudson, E. Adeli, R. Altman, S. Arora, S. von Arx, M. S. Bernstein, J. Bohg, A. Bosselut, E. Brunskill et al., “On the opportunities and risks of foundation models,” arXiv preprint arXiv:2108.07258, 2021.
  2. J. Devlin, M.-W. Chang, K. Lee, and K. Toutanova, “BERT: Pre-training of deep bidirectional transformers for language understanding,” in Conference of the North American Chapter of the Association for Computational Linguistics: Human Language Technologies, Volume 1 (Long and Short Papers), J. Burstein, C. Doran, and T. Solorio, Eds., 2019, pp. 4171–4186.
  3. Y. Liu, M. Ott, N. Goyal, J. Du, M. Joshi, D. Chen, O. Levy, M. Lewis, L. Zettlemoyer, and V. Stoyanov, “RoBERTa: A robustly optimized BERT pretraining approach,” arXiv preprint arXiv:1907.11692, 2019.
  4. C. Raffel, N. Shazeer, A. Roberts, K. Lee, S. Narang, M. Matena, Y. Zhou, W. Li, and P. J. Liu, “Exploring the limits of transfer learning with a unified text-to-text transformer,” The Journal of Machine Learning Research, vol. 21, no. 1, pp. 5485–5551, 2020.
  5. T. Brown, B. Mann, N. Ryder, M. Subbiah, J. D. Kaplan, P. Dhariwal, A. Neelakantan, P. Shyam, G. Sastry, A. Askell et al., “Language models are few-shot learners,” Advances in Neural Information Processing Systems (NeurIPS), vol. 33, pp. 1877–1901, 2020.
  6. T. Chen, S. Kornblith, M. Norouzi, and G. Hinton, “A simple framework for contrastive learning of visual representations,” in International Conference on Machine Learning (ICML), 2020, pp. 1597–1607.
  7. K. He, H. Fan, Y. Wu, S. Xie, and R. Girshick, “Momentum contrast for unsupervised visual representation learning,” in IEEE Conference on Computer Vision and Pattern Recognition (CVPR), 2020, pp. 9729–9738.
  8. K. He, X. Chen, S. Xie, Y. Li, P. Dollár, and R. Girshick, “Masked autoencoders are scalable vision learners,” in IEEE Conference on Computer Vision and Pattern Recognition (CVPR), 2022, pp. 16 000–16 009.
  9. A. Radford, J. W. Kim, C. Hallacy, A. Ramesh, G. Goh, S. Agarwal, G. Sastry, A. Askell, P. Mishkin, J. Clark et al., “Learning transferable visual models from natural language supervision,” in International Conference on Machine Learning (ICML), 2021, pp. 8748–8763.
  10. A. Ramesh, M. Pavlov, G. Goh, S. Gray, C. Voss, A. Radford, M. Chen, and I. Sutskever, “Zero-shot text-to-image generation,” in International Conference on Machine Learning (ICML), 2021, pp. 8821–8831.
  11. R. Rombach, A. Blattmann, D. Lorenz, P. Esser, and B. Ommer, “High-resolution image synthesis with latent diffusion models,” in IEEE Conference on Computer Vision and Pattern Recognition (CVPR), 2022, pp. 10 684–10 695.
  12. A. Kirillov, E. Mintun, N. Ravi, H. Mao, C. Rolland, L. Gustafson, T. Xiao, S. Whitehead, A. C. Berg, W.-Y. Lo et al., “Segment anything,” arXiv preprint arXiv:2304.02643, 2023.
  13. Z. Yang, L. Li, K. Lin, J. Wang, C.-C. Lin, Z. Liu, and L. Wang, “The dawn of LLMs: Preliminary explorations with GPT-4V(ision),” arXiv preprint arXiv:2309.17421, vol. 9, no. 1, 2023.
  14. G. Team, R. Anil, S. Borgeaud, Y. Wu, J.-B. Alayrac, J. Yu, R. Soricut, J. Schalkwyk, A. M. Dai, A. Hauth et al., “Gemini: A family of highly capable multimodal models,” arXiv preprint arXiv:2312.11805, 2023.
  15. M. Rapp, H. Amrouch, Y. Lin, B. Yu, D. Z. Pan, M. Wolf, and J. Henkel, “MLCAD: A survey of research in machine learning for CAD keynote paper,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 41, no. 10, pp. 3162–3181, 2022.
  16. M. Li, S. Khan, Z. Shi, N. Wang, Y. Huang, and Q. Xu, “DeepGate: Learning neural representations of logic gates,” in ACM/IEEE Design Automation Conference, 2022, pp. 667–672.
  17. Z. Shi, H. Pan, S. Khan, M. Li, Y. Liu, J. Huang, H.-L. Zhen, M. Yuan, Z. Chu, and Q. Xu, “DeepGate2: Functionality-aware circuit representation learning,” in 2023 IEEE/ACM International Conference on Computer Aided Design (ICCAD), 2023, pp. 1–9.
  18. “OpenCores.” [Online]. Available: http://opencores.org/
  19. “XiangShan RISC-V processor.” [Online]. Available: https://github.com/OpenXiangShan/XiangShan
  20. K. Asanović, R. Avizienis, J. Bachrach, S. Beamer, D. Biancolin, C. Celio, H. Cook, D. Dabbelt, J. Hauser, A. Izraelevitz, S. Karandikar, B. Keller, D. Kim, J. Koenig, Y. Lee, E. Love, M. Maas, A. Magyar, H. Mao, M. Moreto, A. Ou, D. A. Patterson, B. Richards, C. Schmidt, S. Twigg, H. Vo, and A. Waterman, “The rocket chip generator,” EECS Department, University of California, Berkeley, Tech. Rep. UCB/EECS-2016-17, Apr 2016.
  21. A. Vaswani, N. Shazeer, N. Parmar, J. Uszkoreit, L. Jones, A. N. Gomez, Ł. Kaiser, and I. Polosukhin, “Attention is all you need,” Advances in Neural Information Processing Systems (NeurIPS), vol. 30, 2017.
  22. J. Zhou, G. Cui, S. Hu, Z. Zhang, C. Yang, Z. Liu, L. Wang, C. Li, and M. Sun, “Graph neural networks: A review of methods and applications,” AI open, vol. 1, pp. 57–81, 2020.
  23. T. Baltrušaitis, C. Ahuja, and L.-P. Morency, “Multimodal machine learning: A survey and taxonomy,” IEEE transactions on pattern analysis and machine intelligence, vol. 41, no. 2, pp. 423–443, 2018.
  24. J. Austin, A. Odena, M. Nye, M. Bosma, H. Michalewski, D. Dohan, E. Jiang, C. Cai, M. Terry, Q. Le et al., “Program synthesis with large language models,” arXiv preprint arXiv:2108.07732, 2021.
  25. C.-C. Lin, K.-C. Chen, S.-C. Chang, M. Marek-Sadowska, and K.-T. Cheng, “Logic synthesis for engineering change,” in ACM/IEEE Design Automation Conference, 1995, pp. 647–652.
  26. G. De Micheli, “Chip challenge,” IEEE Solid-State Circuits Magazine, vol. 2, no. 4, pp. 22–26, 2010.
  27. J. Bachrach, H. Vo, B. Richards, Y. Lee, A. Waterman, R. Avižienis, J. Wawrzynek, and K. Asanović, “Chisel: Constructing Hardware in a Scala Embedded Language,” in ACM/IEEE Design Automation Conference (DAC), 2012, pp. 1216–1225.
  28. C. I. C. Marquez, M. Strum, and W. J. Chau, “Formal equivalence checking between high-level and RTL hardware designs,” in 2013 14th Latin American Test Workshop-LATW.   IEEE, 2013, pp. 1–6.
  29. R. Mukherjee, M. Purandare, R. Polig, and D. Kroening, “Formal techniques for effective co-verification of hardware/software co-designs,” in Proceedings of the 54th Annual Design Automation Conference 2017, 2017, pp. 1–6.
  30. Synopsys. (2024) Vc formal datapath validation. [Online]. Available: https://www.synopsys.com/verification/static-and-formal-verification/vc-formal/vc-formal-datapath-validation.html
  31. A. Koelbl, R. Jacoby, H. Jain, and C. Pixley, “Solver technology for system-level to RTL equivalence checking,” in 2009 Design, Automation & Test in Europe Conference & Exhibition.   IEEE, 2009, pp. 196–201.
  32. B.-Y. Huang, H. Zhang, P. Subramanyan, Y. Vizel, A. Gupta, and S. Malik, “Instruction-level abstraction (ILA) a uniform specification for system-on-chip (SoC) verification,” ACM Transactions on Design Automation of Electronic Systems (TODAES), vol. 24, no. 1, pp. 1–24, 2018.
  33. A. Mishchenko, S. Chatterjee, R. Brayton, and N. Een, “Improvements to combinational equivalence checking,” in Proceedings of the 2006 IEEE/ACM international conference on Computer-aided design, 2006, pp. 836–843.
  34. J. Baumgartner, H. Mony, V. Paruthi, R. Kanzelman, and G. Janssen, “Scalable sequential equivalence checking across arbitrary design transformations,” in 2006 International Conference on Computer Design.   IEEE, 2006, pp. 259–266.
  35. Z. Chen, X. Zhang, Y. Qian, Q. Xu, and S. Cai, “Integrating exact simulation into sweeping for datapath combinational equivalence checking,” in 2023 IEEE/ACM International Conference on Computer Aided Design (ICCAD).   IEEE, 2023, pp. 1–9.
  36. Y.-Y. Dai, K.-Y. Khoo, and R. K. Brayton, “Sequential equivalence checking of clock-gated circuits,” in Proceedings of the 52nd Annual Design Automation Conference, 2015, pp. 1–6.
  37. X. Li, Z. Huang, S. Tao, Z. Huang, C. Zhuang, H. Wang, Y. Li, Y. Qiu, G. Luo, H. Li, H. Shen, M. Chen, D. Bu, W. Zhu, Y. Cai, X. Xiong, Y. Jiang, Y. Heng, P. Zhang, B. Yu, B. Xie, and Y. Bao, “iEDA: An open-source infracstructure of EDA,” in Asia and South Pacific Design Automation Conference (ASPDAC).   IEEE, 2024.
  38. Y.-L. Li, S.-T. Lin, S. Nishizawa, H.-Y. Su, M.-J. Fong, O. Chen, and H. Onodera, “NCTUcell: A DDA-aware cell library generator for FinFET structure with implicitly adjustable grid map,” in ACM/IEEE Design Automation Conference (DAC), 2019, pp. 1–6.
  39. C.-K. Cheng, C.-T. Ho, D. Lee, and D. Park, “A routability-driven complimentary-FET (CFET) standard cell synthesis framework using SMT,” in IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2020, pp. 1–8.
  40. D. Park, D. Lee, I. Kang, S. Gao, B. Lin, and C.-K. Cheng, “SP&R: Simultaneous placement and routing framework for standard cell synthesis in sub-7nm,” in IEEE/ACM Asia and South Pacific Design Automation Conference (ASPDAC), 2020, pp. 345–350.
  41. S. Choi, J. Jung, A. B. Kahng, M. Kim, C.-H. Park, B. Pramanik, and D. Yoon, “PROBE3.0: A systematic framework for design-technology pathfinding with improved design enablement,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2023. [Online]. Available: https://doi.org/10.1109/TCAD.2023.3334591
  42. A. Beaumont-Smith and C.-C. Lim, “Parallel prefix adder design,” in Proceedings 15th IEEE Symposium on Computer Arithmetic. ARITH-15 2001.   IEEE, 2001, pp. 218–225.
  43. S. Rakesh and K. V. Grace, “A comprehensive review on the vlsi design performance of different parallel prefix adders,” Materials Today: Proceedings, vol. 11, pp. 1001–1009, 2019.
  44. N. P. Jouppi, C. Young, N. Patil, D. Patterson, G. Agrawal, R. Bajwa, S. Bates, S. Bhatia, N. Boden, A. Borchers et al., “In-datacenter performance analysis of a tensor processing unit,” in Proceedings of the 44th annual international symposium on computer architecture, 2017, pp. 1–12.
  45. H. Chen, M. Liu, X. Tang, K. Zhu, N. Sun, and D. Z. Pan, “Challenges and opportunities toward fully automated analog layout design,” Journal of Semiconductors, vol. 41, no. 20070021, p. 111407, 2020.
  46. Z. Zhao and L. Zhang, “An automated topology synthesis framework for analog integrated circuits,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 39, no. 12, pp. 4325–4337, 2020.
  47. W. Lyu, P. Xue, F. Yang, C. Yan, Z. Hong, X. Zeng, and D. Zhou, “An efficient Bayesian optimization approach for automated optimization of analog circuits,” IEEE Transactions on Circuits and Systems I, vol. 65, no. 6, pp. 1954–1967, 2018.
  48. K. Zhu, H. Chen, M. Liu, X. Tang, N. Sun, and D. Z. Pan, “Effective analog/mixed-signal circuit placement considering system signal flow,” in IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2020.
  49. P. Joseph, K. Vaswani, and M. J. Thazhuthaveetil, “Construction and use of linear regression models for processor performance analysis,” in IEEE International Symposium on High Performance Computer Architecture (HPCA), 2006.
  50. C. Mendis, A. Renda, S. Amarasinghe, and M. Carbin, “Ithemal: Accurate, portable and fast basic block throughput estimation using deep neural networks,” in International Conference on Machine Learning (ICML), 2019.
  51. J. Zhai, C. Bai, B. Zhu, Y. Cai, Q. Zhou, and B. Yu, “McPAT-Calib: A microarchitecture power modeling framework for modern CPUs,” in IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2021, pp. 1–9.
  52. Q. Zhang, S. Li, G. Zhou, J. Pan, C.-C. Chang, Y. Chen, and Z. Xie, “PANDA: Architecture-level power evaluation by unifying analytical and machine learning solutions,” in IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2023, pp. 01–09.
  53. C. Bai, Q. Sun, J. Zhai, Y. Ma, B. Yu, and M. D. Wong, “BOOM-Explorer: RISC-V BOOM microarchitecture design space exploration framework,” in IEEE/ACM International Conference On Computer Aided Design (ICCAD), 2021.
  54. N. Ardalani, C. Lestourgeon, K. Sankaralingam, and X. Zhu, “Cross-architecture performance prediction (XAPP) using CPU code to predict GPU performance,” in IEEE/ACM International Symposium on Microarchitecture (MICRO), 2015.
  55. G. Wu, J. L. Greathouse, A. Lyashevsky, N. Jayasena, and D. Chiou, “GPGPU performance and power estimation using machine learning,” in IEEE International Symposium on High Performance Computer Architecture (HPCA), 2015.
  56. Z. Qian, D.-C. Juan, P. Bogdan, C.-Y. Tsui, D. Marculescu, and R. Marculescu, “SVR-NoC: A performance analysis tool for network-on-chips using learning-based support vector regression model,” in IEEE/ACM Proceedings Design, Automation and Test in Eurpoe (DATE), 2013, pp. 354–357.
  57. Z. Shi, X. Huang, A. Jain, and C. Lin, “Applying deep learning to the cache replacement problem,” in IEEE/ACM International Symposium on Microarchitecture (MICRO), 2019, pp. 413–425.
  58. R. Bera, K. Kanellopoulos, A. Nori, T. Shahroodi, S. Subramoney, and O. Mutlu, “Pythia: A customizable hardware prefetching framework using online reinforcement learning,” in IEEE/ACM International Symposium on Microarchitecture (MICRO), 2021.
  59. S. Lu, R. Tessier, and W. Burleson, “Reinforcement learning for thermal-aware many-core task allocation,” in Great Lakes Symposium on VLSI, 2015.
  60. N. AbouGhazaleh, A. Ferreira, C. Rusu, R. Xu, F. Liberato, B. Childers, D. Mosse, and R. Melhem, “Integrated CPU and L2 cache voltage scaling using machine learning,” in ACM SIGPLAN/SIGBED Conference on Languages, Compilers, and Tools for Embedded Systems (LCTES), 2007.
  61. C. Dubach, T. M. Jones, E. V. Bonilla, and M. F. O’Boyle, “A predictive model for dynamic microarchitectural adaptivity control,” in IEEE/ACM International Symposium on Microarchitecture (MICRO), 2010, pp. 485–496.
  62. S.-C. Kao, G. Jeong, and T. Krishna, “ConfuciuX: Autonomous Hardware Resource Assignment for DNN Accelerators using Reinforcement Learning,” in IEEE/ACM International Symposium on Microarchitecture (MICRO), 2020, pp. 622–636.
  63. S. Dai, Y. Zhou, H. Zhang, E. Ustun, E. F. Young, and Z. Zhang, “Fast and accurate estimation of quality of results in high-level synthesis with machine learning,” in Symposium on Field-Programmable Custom Computing Machines (FCCM), 2018.
  64. H. M. Makrani, F. Farahmand, H. Sayadi, S. Bondi, S. M. P. Dinakarrao, H. Homayoun, and S. Rafatirad, “Pyramid: Machine learning framework to estimate the optimal timing and resource usage of a high-level synthesis design,” in International Conference on Field-Programmable Logic and Applications (FPL), 2019.
  65. E. Ustun, C. Deng, D. Pal, Z. Li, and Z. Zhang, “Accurate operation delay prediction for FPGA HLS using graph neural networks,” in IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2020, pp. 1–9.
  66. J. Zhao, T. Liang, S. Sinha, and W. Zhang, “Machine learning based routing congestion prediction in fpga high-level synthesis,” in 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).   IEEE, 2019, pp. 1130–1135.
  67. Z. Lin, Z. Yuan, J. Zhao, W. Zhang, H. Wang, and Y. Tian, “PowerGear: Early-stage power estimation in FPGA HLS via heterogeneous edge-centric GNNs,” in IEEE/ACM Proceedings Design, Automation and Test in Eurpoe (DATE), 2022, pp. 1341–1346.
  68. H.-Y. Liu and L. P. Carloni, “On learning-based methods for design-space exploration with high-level synthesis,” in Design automation conference (DAC), 2013.
  69. P. Meng, A. Althoff, Q. Gautier, and R. Kastner, “Adaptive threshold non-Pareto elimination: Re-thinking machine learning for system level design space exploration on FPGAs,” in IEEE/ACM Proceedings Design, Automation and Test in Eurpoe (DATE), 2016, pp. 918–923.
  70. R. G. Kim, J. R. Doppa, and P. P. Pande, “Machine learning for design space exploration and optimization of manycore systems,” in IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2018, pp. 1–6.
  71. A. Mahapatra and B. C. Schafer, “Machine-learning based simulated annealer method for high level synthesis design space exploration,” in Electronic System Level Synthesis Conference (ESLsyn), 2014, pp. 1–6.
  72. Z. Wang and B. C. Schafer, “Machine leaming to set meta-heuristic specific parameters for high-level synthesis design space exploration,” in ACM/IEEE Design Automation Conference (DAC), 2020, pp. 1–6.
  73. Q. Sun, T. Chen, S. Liu, J. Chen, H. Yu, and B. Yu, “Correlated multi-objective multi-fidelity optimization for HLS directives design,” ACM Transactions on Design Automation of Electronic Systems (TODAES), vol. 27, no. 4, pp. 1–27, 2022.
  74. Z. Yu, C. Bail, S. Hu, R. Chen, T. He, M. Yuan, B. Yu, and M. Wong, “IT-DSE: Invariance risk minimized transfer microarchitecture design space exploration,” in IEEE/ACM International Conference on Computer Aided Design (ICCAD), 2023, pp. 1–9.
  75. Q. Xiao, S. Zheng, B. Wu, P. Xu, X. Qian, and Y. Liang, “HASCO: Towards agile hardware and software co-design for tensor computation,” in IEEE/ACM International Symposium on Computer Architecture (ISCA), 2021, pp. 1055–1068.
  76. C. Xu, C. Kjellqvist, and L. W. Wills, “SNS’s not a synthesizer: a deep-learning-based synthesis predictor,” in International Symposium on Computer Architecture (ISCA), 2022.
  77. P. Sengupta, A. Tyagi, Y. Chen, and J. Hu, “How good is your Verilog RTL code? a quick answer from machine learning,” in Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022.
  78. C. Xu, P. Sharma, T. Wang, and L. W. Wills, “Fast, robust and transferable prediction for hardware logic synthesis,” in IEEE/ACM International Symposium on Microarchitecture, 2023, pp. 167–179.
  79. W. Fang, Y. Lu, S. Liu, Q. Zhang, C. Xu, L. W. Wills, H. Zhang, and Z. Xie, “MasterRTL: A pre-synthesis PPA estimation framework for any RTL design,” in IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2023.
  80. D. S. Lopera and W. Ecker, “Applying GNNs to timing estimation at RTL,” in IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2022.
  81. N. Wu, J. Lee, Y. Xie, and C. Hao, “Lostin: Logic optimization via spatio-temporal information with hybrid graph models,” in International Conference on Application-specific Systems, Architectures and Processors (ASAP), 2022.
  82. Y. Zhou, H. Ren, Y. Zhang, B. Keller, B. Khailany, and Z. Zhang, “PRIMAL: Power inference using machine learning,” in Design Automation Conference (DAC), 2019.
  83. D. Lee, L. K. John, and A. Gerstlauer, “Dynamic power and performance back-annotation for fast and accurate functional hardware simulation,” in IEEE/ACM Proceedings Design, Automation and Test in Eurpoe (DATE), 2015.
  84. A. K. A. Kumar and A. Gerstlauer, “Learning-based CPU power modeling,” in ACM/IEEE Workshop on Machine Learning for CAD (MLCAD), 2019.
  85. Z. Xie, S. Li, M. Ma, C.-C. Chang, J. Pan, Y. Chen, and J. Hu, “DEEP: Developing extremely efficient runtime on-chip power meters,” in IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2022.
  86. D. Zoni, L. Cremona, and W. Fornaciari, “PowerProbe: Run-time power modeling through automatic RTL instrumentation,” in IEEE/ACM Proceedings Design, Automation and Test in Eurpoe (DATE), 2018.
  87. D. J. Pagliari, V. Peluso, Y. Chen, A. Calimera, E. Macii, and M. Poncino, “All-digital embedded meters for on-line power estimation,” in IEEE/ACM Proceedings Design, Automation and Test in Eurpoe (DATE), 2018.
  88. Z. Xie, X. Xu, M. Walker, J. Knebel, K. Palaniswamy, N. Hebert, J. Hu, H. Yang, Y. Chen, and S. Das, “APOLLO: An automated power modeling framework for runtime power introspection in high-volume commercial microprocessors,” in IEEE/ACM International Symposium on Microarchitecture (MICRO), 2021.
  89. D. Kim, J. Zhao, J. Bachrach, and K. Asanović, “Simmani: Runtime power modeling for arbitrary RTL with automatic signal selection,” in IEEE/ACM International Symposium on Microarchitecture (MICRO), 2019.
  90. J. Yang, L. Ma, K. Zhao, Y. Cai, and T.-F. Ngai, “Early stage real-time SoC power estimation using RTL instrumentation,” in IEEE/ACM Asia and South Pacific Design Automation Conference (ASPDAC), 2015.
  91. S. Fine and A. Ziv, “Coverage directed test generation for functional verification using bayesian networks,” in ACM/IEEE Design Automation Conference (DAC), 2003.
  92. S. Vasudevan, W. J. Jiang, D. Bieber, R. Singh, C. R. Ho, C. Sutton et al., “Learning semantic representations to verify hardware designs,” Advances in Neural Information Processing Systems (NeurIPS), vol. 34, pp. 23 491–23 504, 2021.
  93. Y. Katz, M. Rimon, A. Ziv, and G. Shaked, “Learning microarchitectural behaviors to improve stimuli generation quality,” in ACM/IEEE Design Automation Conference (DAC), 2011.
  94. W. L. Neto, M. Austin, S. Temple, L. Amaru, X. Tang, and P.-E. Gaillardon, “Lsoracle: A logic synthesis framework driven by artificial intelligence,” in IEEE/ACM International Conference on Computer-Aided Design (ICCAD).   IEEE, 2019, pp. 1–6.
  95. C. Yu, H. Xiao, and G. De Micheli, “Developing synthesis flows without human knowledge,” in ACM/IEEE Design Automation Conference (DAC), 2018.
  96. C. Yu and W. Zhou, “Decision making in synthesis cross technologies using LSTMs and transfer learning,” in ACM/IEEE Workshop on Machine Learning for CAD (MLCAD), 2020, pp. 55–60.
  97. Z. Pei, F. Liu, Z. He, G. Chen, H. Zheng, K. Zhu, and B. Yu, “AlphaSyn: Logic synthesis optimization with efficient monte carlo tree search,” in IEEE/ACM International Conference on Computer Aided Design (ICCAD).   IEEE, 2023, pp. 1–9.
  98. W. L. Neto, M. T. Moreira, Y. Li, L. Amarù, C. Yu, and P.-E. Gaillardon, “SLAP: A supervised learning approach for priority cuts technology mapping,” in ACM/IEEE Design Automation Conference (DAC), 2021, pp. 859–864.
  99. W. L. Neto, M. T. Moreira, L. Amaru, C. Yu, and P.-E. Gaillardon, “Read your circuit: leveraging word embedding to guide logic optimization,” in IEEE/ACM Asia and South Pacific Design Automation Conference (ASPDAC), 2021, pp. 530–535.
  100. Z. Xie, R. Liang, X. Xu, J. Hu, C.-C. Chang, J. Pan, and Y. Chen, “Preplacement net length and timing estimation by customized graph neural network,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 41, no. 11, pp. 4667–4680, 2022.
  101. Y. Zhang, H. Ren, and B. Khailany, “GRANNITE: Graph neural network inference for transferable power estimation,” in Design Automation Conference (DAC), 2020.
  102. M. Rakesh, P. Das, A. Terkar, and A. Acharyya, “GRASPE: Accurate post-synthesis power estimation from RTL using graph representation learning,” in IEEE International Symposium on Circuits and Systems (ISCAS), 2023, pp. 1–5.
  103. S. Khan, Z. Shi, M. Li, and Q. Xu, “DeepSeq: Deep sequential circuit learning,” arXiv preprint arXiv:2302.13608, 2023.
  104. S. D. Chowdhury, K. Yang, and P. Nuzzo, “ReIGNN: State register identification using graph neural networks for circuit reverse engineering,” in IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2021, pp. 1–9.
  105. L. Alrahis, A. Sengupta, J. Knechtel, S. Patnaik, H. Saleh, B. Mohammad, M. Al-Qutayri, and O. Sinanoglu, “GNN-RE: Graph neural networks for reverse engineering of gate-level netlists,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 41, no. 8, pp. 2435–2448, 2021.
  106. Z. He, Z. Wang, C. Bail, H. Yang, and B. Yu, “Graph learning-based arithmetic block identification,” in IEEE/ACM International Conference On Computer Aided Design (ICCAD).   IEEE, 2021, pp. 1–8.
  107. N. Wu, Y. Li, C. Hao, S. Dai, C. Yu, and Y. Xie, “Gamora: Graph learning based symbolic reasoning for large-scale Boolean networks,” in ACM/IEEE Design Automation Conference (DAC), 2023.
  108. S. Ward, D. Ding, and D. Z. Pan, “PADE: A high-performance placer with automatic datapath extraction and evaluation through high dimensional data learning,” in ACM/IEEE Design Automation Conference (DAC), 2012, pp. 756–761.
  109. Y. Lin, S. Dhar, W. Li, H. Ren, B. Khailany, and D. Z. Pan, “DREAMPlace: Deep learning toolkit-enabled GPU acceleration for modern VLSI placement,” in ACM/IEEE Design Automation Conference (DAC), 2019, pp. 1–6.
  110. A. Agnesina, P. Rajvanshi, T. Yang, G. Pradipta, A. Jiao, B. Keller, B. Khailany, and H. Ren, “AutoDMP: Automated DREAMPlace-based macro placement,” in ACM International Symposium on Physical Design (ISPD), 2023.
  111. Z. Xie, Y.-H. Huang, G.-Q. Fang, H. Ren, S.-Y. Fang, Y. Chen, and J. Hu, “RouteNet: Routability prediction for mixed-size designs using convolutional neural network,” in IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2018.
  112. Y.-H. Huang, Z. Xie, G.-Q. Fang, T.-C. Yu, H. Ren, S.-Y. Fang, Y. Chen, and J. Hu, “Routability-driven macro placement with embedded CNN-based prediction model,” in IEEE/ACM Proceedings Design, Automation and Test in Eurpoe (DATE), 2019.
  113. C.-C. Chang, J. Pan, T. Zhang, Z. Xie, J. Hu, W. Qi, C. Lin, R. Liang, J. Mitra, E. Fallon, and Y. Chen, “Automatic routability predictor development using neural architecture search,” in IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2021.
  114. J. Pan, C.-C. Chang, Z. Xie, A. Li, M. Tang, T. Zhang, J. Hu, and Y. Chen, “Towards collaborative intelligence: Routability estimation based on decentralized private data,” in ACM/IEEE Design Automation Conference (DAC), 2022.
  115. S. Zheng, L. Zou, P. Xu, S. Liu, B. Yu, and M. Wong, “Lay-Net: Grafting netlist knowledge on layout-based congestion prediction,” in IEEE/ACM International Conference on Computer Aided Design (ICCAD), 2023, pp. 1–9.
  116. S. Liu, Q. Sun, P. Liao, Y. Lin, and B. Yu, “Global placement with deep learning-enabled explicit routability optimization,” in IEEE/ACM Proceedings Design, Automation and Test in Eurpoe (DATE), 2021, pp. 1821–1824.
  117. J. Chen, J. Kuang, G. Zhao, D. J.-H. Huang, and E. F. Young, “PROS: A plug-in for routability optimization applied in the state-of-the-art commercial EDA tool using deep learning,” in IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2020.
  118. S. Zheng, L. Zou, S. Liu, Y. Lin, B. Yu, and M. Wong, “Mitigating distribution shift for congestion optimization in global placement,” in ACM/IEEE Design Automation Conference (DAC), 2023, pp. 1–6.
  119. E. C. Barboza, N. Shukla, Y. Chen, and J. Hu, “Machine learning-based pre-routing timing prediction with reduced pessimism,” in ACM/IEEE Design Automation Conference (DAC), 2019.
  120. X. He, Z. Fu, Y. Wang, C. Liu, and Y. Guo, “Accurate timing prediction at placement stage with look-ahead RC network,” in ACM/IEEE Design Automation Conference (DAC), 2022, pp. 1213–1218.
  121. P. Cao, G. He, and T. Yang, “TF-Predictor: Transformer-based pre-routing path delay prediction framework,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), no. 99, pp. 1–1, 2022.
  122. Z. Guo, M. Liu, J. Gu, S. Zhang, D. Z. Pan, and Y. Lin, “A timing engine inspired graph neural network model for pre-routing slack prediction,” in ACM/IEEE Design Automation Conference (DAC), 2022, pp. 1207–1212.
  123. Z. Wang, S. Liu, Y. Pu, S. Chen, T.-Y. Ho, and B. Yu, “Restructure-tolerant timing prediction via multimodal fusion,” in ACM/IEEE Design Automation Conference (DAC), 2023, pp. 1–6.
  124. R. Liang, Z. Xie, J. Jung, V. Chauha, Y. Chen, J. Hu, H. Xiang, and G.-J. Nam, “Routing-free crosstalk prediction,” in IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2020.
  125. S. Liu, Z. Wang, F. Liu, Y. Lin, B. Yu, and M. Wong, “Concurrent sign-off timing optimization via deep steiner points refinement,” in ACM/IEEE Design Automation Conference (DAC), 2023, pp. 1–6.
  126. A. B. Kahng, U. Mallappa, and L. Saul, “Using machine learning to predict path-based slack from graph-based timing analysis,” in IEEE International Conference on Computer Design (ICCD), 2018, pp. 603–612.
  127. Y. Ye, T. Chen, Y. Gao, H. Yan, B. Yu, and L. Shi, “Graph-learning-driven path-based timing analysis results predictor from graph-based timing analysis,” in IEEE/ACM Asia and South Pacific Design Automation Conference (ASPDAC), 2023, pp. 547–552.
  128. C.-T. Ho and A. B. Kahng, “IncPIRD: Fast learning-based prediction of incremental IR drop,” in IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2019.
  129. C.-H. Pao, A.-Y. Su, and Y.-M. Lee, “XGBIR: An XGBoost-based IR drop predictor for power delivery network,” in IEEE/ACM Proceedings Design, Automation and Test in Eurpoe (DATE), 2020, pp. 1307–1310.
  130. Y.-C. Fang, H.-Y. Lin, M.-Y. Sui, C.-M. Li, and E. J.-W. Fang, “Machine-learning-based dynamic IR drop prediction for ECO,” in IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2018, pp. 1–7.
  131. M. B. Alawieh, Y. Lin, Z. Zhang, M. Li, Q. Huang, and D. Z. Pan, “GAN-SRAF: subresolution assist feature generation using generative adversarial networks,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 40, no. 2, pp. 373–385, 2020.
  132. H. Yang, S. Li, Z. Deng, Y. Ma, B. Yu, and E. F. Young, “GAN-OPC: Mask optimization with lithography-guided generative adversarial nets,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 39, no. 10, pp. 2822–2834, 2020.
  133. G. Chen, Z. Yu, H. Liu, Y. Ma, and B. Yu, “DevelSet: Deep neural level set for instant mask optimization,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 42, no. 12, pp. 5020–5033, 2023.
  134. B. Zhu, S. Zheng, Z. Yu, G. Chen, Y. Ma, F. Yang, B. Yu, and M. D. Wong, “L2O-ILT: Learning to optimize inverse lithography techniques,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2023.
  135. Y. Watanabe, T. Kimura, T. Matsunawa, and S. Nojima, “Accurate lithography simulation model based on convolutional neural networks,” in Optical Microlithography XXX, vol. 10147.   SPIE, 2017, pp. 137–145.
  136. W. Ye, M. B. Alawieh, Y. Lin, and D. Z. Pan, “LithoGAN: End-to-end lithography modeling with generative adversarial networks,” in ACM/IEEE Design Automation Conference (DAC), 2019.
  137. Y. Lin, M. Li, Y. Watanabe, T. Kimura, T. Matsunawa, S. Nojima, and D. Z. Pan, “Data efficient lithography modeling with transfer learning and active data selection,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 38, no. 10, pp. 1900–1913, 2018.
  138. G. Chen, Z. Pei, H. Yang, Y. Ma, B. Yu, and M. Wong, “Physics-informed optical kernel regression using complex-valued neural fields,” in ACM/IEEE Design Automation Conference (DAC), 2023, pp. 1–6.
  139. H. Yang, L. Luo, J. Su, C. Lin, and B. Yu, “Imbalance aware lithography hotspot detection: a deep learning approach,” Journal of Micro/Nanolithography, MEMS, and MOEMS, vol. 16, no. 3, pp. 033 504–033 504, 2017.
  140. J. Chen, Y. Lin, Y. Guo, M. Zhang, M. B. Alawieh, and D. Z. Pan, “Lithography hotspot detection using a double inception module architecture,” Journal of Micro/Nanolithography, MEMS, and MOEMS, vol. 18, no. 1, pp. 013 507–013 507, 2019.
  141. Y. Jiang, F. Yang, B. Yu, D. Zhou, and X. Zeng, “Efficient layout hotspot detection via binarized residual neural network ensemble,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 40, no. 7, pp. 1476–1488, 2020.
  142. A. Ciccazzo, G. Di Pillo, and V. Latorre, “A SVM surrogate model-based method for parametric yield optimization,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 35, no. 7, pp. 1224–1228, 2015.
  143. K. Nakata, R. Orihara, Y. Mizuoka, and K. Takagi, “A comprehensive big-data-based monitoring system for yield enhancement in semiconductor manufacturing,” IEEE Transactions on Semiconductor Manufacturing (TSM), vol. 30, no. 4, pp. 339–344, 2017.
  144. M. B. Alawieh, D. Boning, and D. Z. Pan, “Wafer map defect patterns classification using deep selective learning,” in ACM/IEEE Design Automation Conference (DAC), 2020, pp. 1–6.
  145. J. Kwon, M. M. Ziegler, and L. P. Carloni, “A learning-based recommender system for autotuning design fiows of industrial high-performance processors,” in ACM/IEEE Design Automation Conference (DAC), 2019.
  146. Z. Xie, G.-Q. Fang, Y.-H. Huang, H. Ren, Y. Zhang, B. Khailany, S.-Y. Fang, J. Hu, Y. Chen, and E. C. Barboza, “FIST: A feature-importance sampling and tree-based method for automatic design flow parameter tuning,” in IEEE/ACM Asia and South Pacific Design Automation Conference (ASPDAC), 2020.
  147. H. Geng, T. Chen, Y. Ma, B. Zhu, and B. Yu, “PTPT: physical design tool parameter tuning via multi-objective bayesian optimization,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 42, no. 1, pp. 178–189, 2022.
  148. M. Cho, K. Yuan, Y. Ban, and D. Z. Pan, “Eliad: Efficient lithography aware detailed routing algorithm with compact and macro post-opc printability prediction,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 28, no. 7, pp. 1006–1016, 2009.
  149. G. Liu and Z. Zhang, “PIMap: A flexible framework for improving LUT-based technology mapping via parallelized iterative optimization,” ACM Transactions on Reconfigurable Technology and Systems (TRETS), vol. 11, no. 4, pp. 1–23, 2019.
  150. C. Yu, “FlowTune: Practical multi-armed bandits in Boolean optimization,” in IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2020, pp. 1–9.
  151. K. Zhu, M. Liu, H. Chen, Z. Zhao, and D. Z. Pan, “Exploring logic optimizations with reinforcement learning and graph convolutional network,” in ACM/IEEE Workshop on Machine Learning for CAD (MLCAD), 2020, pp. 145–150.
  152. A. Hosny, S. Hashemi, M. Shalan, and S. Reda, “DRiLLS: Deep reinforcement learning for logic synthesis,” in IEEE/ACM Asia and South Pacific Design Automation Conference (ASP-DAC), 2020, pp. 581–586.
  153. Y. V. Peruvemba, S. Rai, K. Ahuja, and A. Kumar, “RL-guided runtime-constrained heuristic exploration for logic synthesis,” in IEEE/ACM International Conference On Computer Aided Design (ICCAD), 2021, pp. 1–9.
  154. W. Haaswijk, E. Collins, B. Seguin, M. Soeken, F. Kaplan, S. Süsstrunk, and G. De Micheli, “Deep learning for logic optimization algorithms,” in IEEE International Symposium on Circuits and Systems (ISCAS), 2018, pp. 1–4.
  155. X. Timoneda and L. Cavigelli, “Late breaking results: Reinforcement learning for scalable logic optimization with graph neural networks,” in ACM/IEEE Design Automation Conference (DAC), 2021, pp. 1378–1379.
  156. A. Mirhoseini, A. Goldie, M. Yazgan, J. W. Jiang, E. Songhori, S. Wang, Y.-J. Lee, E. Johnson, O. Pathak, A. Nazi et al., “A graph placement methodology for fast chip design,” Nature, vol. 594, pp. 207–212, 2021.
  157. Q. Xu, H. Geng, S. Chen, B. Yuan, C. Zhuo, Y. Kang, and X. Wen, “GoodFloorplan: Graph convolutional network and reinforcement learning-based floorplanning,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 41, no. 10, pp. 3492–3502, 2021.
  158. A. Agnesina, K. Chang, and S. K. Lim, “VLSI placement parameter optimization using deep reinforcement learning,” in IEEE/ACM International Conference on Computer-Aided Design, 2020, pp. 1–9.
  159. Y.-C. Lu, S. Nath, V. Khandelwal, and S. K. Lim, “RL-Sizer: VLSI gate sizing for timing optimization using deep reinforcement learning,” in ACM/IEEE Design Automation Conference (DAC), 2021, pp. 733–738.
  160. Y.-C. Lu, W.-T. Chan, D. Guo, S. Kundu, V. Khandelwal, and S. K. Lim, “RL-CCD: Concurrent clock and data optimization using attention-based self-supervised reinforcement learning,” in ACM/IEEE Design Automation Conference (DAC), 2023, pp. 1–6.
  161. X. Liang, Y. Ouyang, H. Yang, B. Yu, and Y. Ma, “RL-OPC: Mask optimization with deep reinforcement learning,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 43, no. 1, pp. 340–351, 2024.
  162. Y.-C. Lu, J. Lee, A. Agnesina, K. Samadi, and S. K. Lim, “GAN-CTS: A generative adversarial framework for clock tree prediction and optimization,” in IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2019.
  163. Y. Lu, S. Liu, Q. Zhang, and Z. Xie, “RTLLM: An open-source benchmark for design RTL generation with large language model,” arXiv preprint arXiv:2308.05345, 2023.
  164. M. Liu, N. Pinckney, B. Khailany, and H. Ren, “VerilogEval: evaluating large language models for Verilog code generation,” in IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2023.
  165. X. Liang, “Hardware descriptions code completion based on a pre-training model,” in IEEE Conference on Telecommunications, Optics and Computer Science (TOCS), 2021, pp. 228–232.
  166. K. Chang, Y. Wang, H. Ren, M. Wang, S. Liang, Y. Han, H. Li, and X. Li, “ChipGPT: How far are we from natural language hardware design,” arXiv preprint arXiv:2305.14019, 2023.
  167. S. Thakur, J. Blocklove, H. Pearce, B. Tan, S. Garg, and R. Karri, “AutoChip: Automating HDL generation using LLM feedback,” arXiv preprint arXiv:2311.04887, 2023.
  168. J. Blocklove, S. Garg, R. Karri, and H. Pearce, “Chip-Chat: Challenges and opportunities in conversational hardware design,” in ACM/IEEE 5th Workshop on Machine Learning for CAD (MLCAD), Sep. 2023.
  169. M. Liu, T.-D. Ene, R. Kirby, C. Cheng, N. Pinckney, R. Liang, J. Alben, H. Anand, S. Banerjee, I. Bayraktaroglu, B. Bhaskaran, B. Catanzaro, A. Chaudhuri, S. Clay, B. Dally, L. Dang, P. Deshpande, S. Dhodhi, S. Halepete, E. Hill, J. Hu, S. Jain, B. Khailany, G. Kokai, K. Kunal, X. Li, C. Lind, H. Liu, S. Oberman, S. Omar, S. Pratty, J. Raiman, A. Sarkar, Z. Shao, H. Sun, P. P. Suthar, V. Tej, W. Turner, K. Xu, and H. Ren, “ChipNeMo: Domain-adapted LLMs for chip design,” arXiv preprint arXiv:2311.00176, 2023.
  170. S. Liu, W. Fang, Y. Lu, Q. Zhang, H. Zhang, and Z. Xie, “RTLCoder: Outperforming GPT-3.5 in design RTL generation with our open-source dataset and lightweight solution,” arXiv preprint arXiv:2312.08617, 2023.
  171. Z. Pei, H.-L. Zhen, M. Yuan, Y. Huang, and B. Yu, “BetterV: Controlled Verilog Generation with Discriminative Guidance,” arXiv preprint arXiv:2402.03375, 2024.
  172. M. Orenes-Vera, M. Martonosi, and D. Wentzlaff, “Using LLMs to facilitate formal verification of RTL,” arXiv preprint arXiv:2309.09437, 2023.
  173. C. Sun, C. Hahn, and C. Trippel, “Towards improving verification productivity with circuit-aware translation of natural language to systemverilog assertions,” in First International Workshop on Deep Learning-aided Verification (DAV), 2023.
  174. W. Fang, M. Li, M. Li, Z. Yan, S. Liu, H. Zhang, and Z. Xie, “AssertLLM: Generating and evaluating hardware verification assertions from design specifications via multi-LLMs,” arXiv preprint arXiv:2402.00386, 2024.
  175. B. Ahmad, S. Thakur, B. Tan, R. Karri, and H. Pearce, “Fixing hardware security bugs with large language models,” arXiv preprint arXiv:2302.01215, 2023.
  176. M. Nair, R. Sadhukhan, and D. Mukhopadhyay, “Generating secure hardware using ChatGPT resistant to CWEs,” Cryptology ePrint Archive, Paper 2023/212, 2023. [Online]. Available: https://eprint.iacr.org/2023/212
  177. R. Kande, H. Pearce, B. Tan, B. Dolan-Gavitt, S. Thakur, R. Karri, and J. Rajendran, “LLM-assisted generation of hardware assertions,” 2023.
  178. Z. He, H. Wu, X. Zhang, X. Yao, S. Zheng, H. Zheng, and B. Yu, “ChatEDA: A large language model powered autonomous agent for EDA,” 2023.
  179. Y. Fu, Y. Zhang, Z. Yu, S. Li, Z. Ye, C. Li, C. Wan, and Y. C. Lin, “GPT4AIGChip: Towards next-generation AI accelerator design automation via large language models,” in IEEE/ACM International Conference on Computer Aided Design (ICCAD), 2023, pp. 1–9.
  180. Z. Yan, Y. Qin, X. S. Hu, and Y. Shi, “On the viability of using LLMs for SW/HW co-design: An example in designing CiM DNN accelerators,” arXiv preprint arXiv:2306.06923, 2023.
  181. Z. Liang, J. Cheng, R. Yang, H. Ren, Z. Song, D. Wu, X. Qian, T. Li, and Y. Shi, “Unleashing the potential of LLMs for quantum computing: A study in quantum architecture design,” arXiv preprint arXiv:2307.08191, 2023.
  182. M. Li, W. Fang, Q. Zhang, and Z. Xie, “SpecLLM: Exploring generation and review of VLSI design specification with large language model,” arXiv preprint arXiv:2401.13266, 2024.
  183. H. Ren and M. Fojtik, “Invited- NVCell: Standard cell layout in advanced technology nodes with reinforcement learning,” in ACM/IEEE Design Automation Conference (DAC), 2021, pp. 1291–1294.
  184. ——, “Standard cell routing with reinforcement learning and genetic algorithm in advanced technology nodes,” in IEEE/ACM Asia and South Pacific Design Automation Conference (ASPDAC), 2021, pp. 684–689.
  185. A. C.-W. Liang, C. H.-P. Wen, and H.-M. Huang, “A general and automatic cell layout generation framework with implicit learning on design rules,” IEEE Transactions on Very Large Scale Integration Systems (TVLSI), vol. 30, no. 9, pp. 1341–1354, 2022.
  186. S. Roy, Y. Ma, J. Miao, and B. Yu, “A learning bridge from architectural synthesis to physical design for exploring power efficient high-performance adders,” in IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED), Jul. 2017, pp. 1–6.
  187. H. Geng, Y. Ma, Q. Xu, J. Miao, S. Roy, and B. Yu, “High-speed adder design space exploration via graph neural processes,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 41, no. 8, pp. 2657–2670, Aug. 2022.
  188. J. Cheng, Y. Xiao, Y. Shao, G. Dong, S. Lyu, and W. Yu, “Machine-learning-driven architectural selection of adders and multipliers in logic synthesis,” ACM Transactions on Design Automation of Electronic Systems (TODAES), vol. 28, no. 2, pp. 20:1–20:16, Mar. 2023.
  189. D. Zuo, Y. Ouyang, and Y. Ma, “RL-MUL: Multiplier design optimization with deep reinforcement learning,” in ACM/IEEE Design Automation Conference (DAC), 2023, pp. 1–6.
  190. K. Zhu, H. Chen, W. J. Turner, G. F. Kokai, P.-H. Wei, D. Z. Pan, and H. Ren, “TAG: Learning circuit spatial embedding from layouts,” in IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2022.
  191. J. Lu, L. Lei, F. Yang, L. Shang, and X. Zeng, “Topology optimization of operational amplifier in continuous space via graph embedding,” in IEEE/ACM Proceedings Design, Automation and Test in Eurpoe (DATE), 2022, p. 142–147.
  192. S. Fan, N. Cao, S. Zhang, J. Li, X. Guo, and X. Zhang, “From specification to topology: Automatic power converter design via reinforcement learning,” in IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2021.
  193. Z. Zhao, J. Luo, J. Liu, and L. Zhang, “Signal-division-aware analog circuit topology synthesis aided by transfer learning,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 42, no. 11, pp. 3481–3490, 2023.
  194. S. Poddar, A. Budak, L. Zhao, C.-H. Hsu, S. Maji, K. Zhu, Y. Jia, and D. Z. Pan, “A data-driven analog circuit synthesizer with automatic topology selection and sizing,” in IEEE/ACM Proceedings Design, Automation and Test in Eurpoe (DATE), 2024.
  195. J. Lu, Y. Li, F. Yang, L. Shang, and X. Zeng, “High-level topology synthesis method for ΔΔ\Deltaroman_Δ-ΣΣ\Sigmaroman_Σ modulators via bi-level bayesian optimization,” IEEE Transactions on Circuits and Systems II: Express Briefs, vol. 70, no. 12, pp. 4389–4393, 2023.
  196. M. Fayazi, M. T. Taba, E. Afshari, and R. Dreslinski, “Angel: Fully-automated analog circuit generator using a neural network assisted semi-supervised learning approach,” IEEE Transactions on Circuits and Systems I, vol. 70, no. 11, pp. 4516–4529, 2023.
  197. K. Hakhamaneshi, M. Nassar, M. Phielipp, P. Abbeel, and V. Stojanovic, “Pretraining graph neural networks for few-shot analog circuit modeling and design,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 42, no. 7, pp. 2163–2173, 2023.
  198. A. Budak, M. Gandara, W. Shi, D. Pan, N. Sun, and B. Liu, “An efficient analog circuit sizing method based on machine learning assisted global optimization,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 41, no. 5, pp. 1209–1221, 2022.
  199. H. Wang, K. Wang, J. Yang, L. Shen, N. Sun, H.-S. Lee, and S. Han, “GCN-RL circuit designer: Transferable transistor sizing with graph neural networks and reinforcement learning,” in ACM/IEEE Design Automation Conference (DAC), 2020.
  200. A. Zhao, X. Wang, Z. Lin, Z. Bi, X. Li, C. Yan, F. Yang, L. Shang, D. Zhou, and X. Zeng, “cVTS: A constrained Voronoi tree search method for high dimensional analog circuit synthesis,” in ACM/IEEE Design Automation Conference (DAC), 2023, pp. 1–6.
  201. K. Kunal, P. Poojary, T. Dhar, M. Madhusudan, R. Harjani, and S. Sapatnekar, “A general approach for identifying hierarchical symmetry constraints for analog circuit layout,” in IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2020.
  202. K. Zhu, H. Chen, M. Liu, and D. Z. Pan, “Automating analog constraint extraction: From heuristics to learning: (invited paper),” in IEEE/ACM Asia and South Pacific Design Automation Conference (ASPDAC), 2022, pp. 108–113.
  203. K. Zhu, M. Liu, Y. Lin, B. Xu, S. Li, X. Tang, N. Sun, and D. Z. Pan, “GeniusRoute: A new analog routing paradigm using generative neural network guidance,” in IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2019.
  204. B. Xu, Y. Lin, X. Tang, S. Li, L. Shen, N. Sun, and D. Z. Pan, “WellGAN: Generative-adversarial-network-guided well generation for analog/mixed-signal circuit layout,” in ACM/IEEE Design Automation Conference (DAC), 2019, pp. 1–6.
  205. A. Gusmão, N. Horta, N. Lourenço, and R. Martins, “Late breaking results: Attention in Graph2Seq neural networks towards push-button analog IC placement,” in ACM/IEEE Design Automation Conference (DAC), 2021, pp. 1360–1361.
  206. P.-C. Wang, M. P.-H. Lin, C.-N. J. Liu, and H.-M. Chen, “Layout synthesis of analog primitive cells with variational autoencoder,” in International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design (SMACD), 2023.
  207. M. Liu, K. Zhu, J. Gu, L. Shen, X. Tang, N. Sun, and D. Z. Pan, “Towards decrypting the art of analog layout: Placement quality prediction via transfer learning,” in IEEE/ACM Proceedings Design, Automation and Test in Eurpoe (DATE), 2020, pp. 496–501.
  208. Y. Lin, Y. Li, D. Fang, M. Madhusudan, S. S. Sapatnekar, R. Harjani, and J. Hu, “Are analytical techniques worthwhile for analog IC placement?” in IEEE/ACM Proceedings Design, Automation and Test in Eurpoe (DATE), 2022, pp. 154–159.
  209. P. Xu, J. Li, T.-Y. Ho, B. Yu, and K. Zhu, “Performance-driven analog layout automation: Current status and future directions,” in IEEE/ACM Asia and South Pacific Design Automation Conference (ASPDAC), 2024.
  210. H. Ren, G. F. Kokai, W. J. Turner, and T.-S. Ku, “ParaGraph: Layout parasitics and device parameter prediction using graph neural networks,” in ACM/IEEE Design Automation Conference (DAC), 2020.
  211. Q. Zhang, S. Su, J. Liu, and M. S.-W. Chen, “CEPA: CNN-based early performance assertion scheme for analog and mixed-signal circuit simulation,” in IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2020.
  212. K. Hakhamaneshi, N. Werblun, P. Abbeel, and V. Stojanović, “BagNet: Berkeley analog generator with layout optimizer boosted with deep neural networks,” in IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2019.
  213. Y. Fang, Z. Liu, Y. Lu, J. Liu, J. Li, Y. Jin, J. Chen, Y. Chen, H. Zheng, and Y. Xie, “NPS: A framework for accurate program sampling using graph neural network,” arXiv preprint arXiv:2304.08880, 2023.
  214. L. Li, T. Flynn, and A. Hoisie, “Learning independent program and architecture representations for generalizable performance modeling,” arXiv preprint arXiv:2310.16792, 2023.
  215. X. Yi, J. Lu, X. Xiong, D. Xu, L. Shang, and F. Yang, “Graph representation learning for microarchitecture design space exploration,” in ACM/IEEE Design Automation Conference (DAC), 2023, pp. 1–6.
  216. C. Sakhuja, Z. Shi, and C. Lin, “Leveraging domain information for the efficient automated design of deep learning accelerators,” in IEEE International Symposium on High-Performance Computer Architecture (HPCA), 2023, pp. 287–301.
  217. M. Li, Z. Shi, Q. Lai, S. Khan, S. Cai, and Q. Xu, “On EDA-driven learning for SAT solving,” in 2023 60th ACM/IEEE Design Automation Conference (DAC), 2023, pp. 1–6.
  218. Z. Shi, M. Li, S. Khan, L. Wang, N. Wang, Y. Huang, and Q. Xu, “DeepTPI: Test point insertion with deep reinforcement learning,” in IEEE International Test Conference (ITC), 2022, pp. 194–203.
  219. Z. Wang, C. Bai, Z. He, G. Zhang, Q. Xu, T.-Y. Ho, B. Yu, and Y. Huang, “Functionality matters in netlist representation learning,” in ACM/IEEE Design Automation Conference, 2022, pp. 61–66.
  220. Z. Xie, H. Ren, B. Khailany, Y. Sheng, S. Santosh, J. Hu, and Y. Chen, “PowerNet: Transferable dynamic IR drop estimation via maximum convolutional neural network,” in Asia and South Pacific Design Automation Conference (ASP-DAC), 2020.
  221. M. Orenes-Vera, M. Martonosi, and D. Wentzlaff, “From RTL to SVA: LLM-assisted generation of formal verification testbenches,” arXiv preprint arXiv:2309.09437, 2023.
  222. N. Eén, A. Mishchenko, and N. Sörensson, “Applying logic synthesis for speeding up SAT,” in Theory and Applications of Satisfiability Testing.   Springer, 2007, pp. 272–286.
  223. N. Sorensson and N. Een, “MiniSAT v1.13 - a SAT solver with conflict-clause minimization,” SAT, vol. 2005, no. 53, pp. 1–2, 2005.
  224. A. Fleury and M. Heisinger, “CaDiCaL, Kissat, Paracooba, Plingeling and Treengeling entering the SAT competition 2020,” SAT Competition, vol. 2020, p. 50, 2020.
  225. Cadence, “Conformal Smart LEC,” 2022. [Online]. Available: https://www.cadence.com/en_US/home/resources/datasheets/conformal-smart-lec-ds.html
  226. S. Zou, J. Zhang, B. Shi, and G. Luo, “BESWAC: Boosting exact synthesis via wiser SAT solver call,” in IEEE/ACM Proceedings Design, Automation and Test in Eurpoe (DATE), 2024.
  227. Z. Chen, X. Zhang, Y. Qian, Q. Xu, and S. Cai, “Integrating exact simulation into sweeping for datapath combinational equivalence checking,” in IEEE/ACM International Conference On Computer Aided Design (ICCAD), 2023, pp. 1–9.
  228. L. Liu, B. Fu, M. D. F. Wong, and E. F. Y. Young, “Xplace: An Extremely Fast and Extensible Global Placement Framework,” in Proceedings of the 59th ACM/IEEE Design Automation Conference, 2022.
  229. B. Wang, G. Shen, D. Li, J. Hao, W. Liu, Y. Huang, H. Wu, Y. Lin, G. Chen, and P. A. Heng, “LHNN: Lattice hypergraph neural network for VLSI congestion prediction,” in ACM/IEEE Design Automation Conference (DAC), San Francisco, CA, July 2022.
  230. Y. Pu, C. Shi, G. Samson, D. Park, K. Easton, R. Beraha, A. Newham, M. Lin, V. Rangan, K. Chatha, D. Butterfield, and R. Attar, “A 9-mm2 ultra-low-power highly integrated 28-nm CMOS SoC for Internet of Things,” IEEE Journal Solid-State Circuits, vol. 53, no. 3, pp. 936–948, 2018.
  231. S. Jain, S. Khare, S. Yada, V. Ambili, P. Salihundam, S. Ramani, S. Muthukumar, M. Srinivasan, A. Kumar, S. K. Gb, R. Ramanarayanan, V. Erraguntla, J. Howard, S. Vangal, S. Dighe, G. Ruhl, P. Aseron, H. Wilson, N. Borkar, V. De, and S. Borkar, “A 280mV-to-1.2V wide-operating-range IA-32 processor in 32nm CMOS,” in IEEE International Solid-State Circuits Conference (ISSCC), 2012, pp. 66–68.
  232. F. Klemme and H. Amrouch, “Efficient learning strategies for machine learning-based characterization of aging-aware cell libraries,” IEEE Transactions on Circuits and Systems I, vol. 69, no. 12, pp. 5233–5246, 2022.
User Edit Pencil Streamline Icon: https://streamlinehq.com
Authors (39)
  1. Lei Chen (487 papers)
  2. Yiqi Chen (17 papers)
  3. Zhufei Chu (10 papers)
  4. Wenji Fang (13 papers)
  5. Tsung-Yi Ho (57 papers)
  6. Yu Huang (176 papers)
  7. Sadaf Khan (11 papers)
  8. Min Li (246 papers)
  9. Xingquan Li (9 papers)
  10. Yun Liang (42 papers)
  11. Yibo Lin (35 papers)
  12. Jinwei Liu (8 papers)
  13. Yi Liu (545 papers)
  14. Guojie Luo (17 papers)
  15. Zhengyuan Shi (18 papers)
  16. Guangyu Sun (47 papers)
  17. Dimitrios Tsaras (3 papers)
  18. Runsheng Wang (49 papers)
  19. Ziyi Wang (449 papers)
  20. Xinming Wei (3 papers)

Summary

We haven't generated a summary for this paper yet.

X Twitter Logo Streamline Icon: https://streamlinehq.com
Youtube Logo Streamline Icon: https://streamlinehq.com