Papers
Topics
Authors
Recent
Gemini 2.5 Flash
Gemini 2.5 Flash
149 tokens/sec
GPT-4o
7 tokens/sec
Gemini 2.5 Pro Pro
45 tokens/sec
o3 Pro
4 tokens/sec
GPT-4.1 Pro
38 tokens/sec
DeepSeek R1 via Azure Pro
28 tokens/sec
2000 character limit reached

ABACuS: All-Bank Activation Counters for Scalable and Low Overhead RowHammer Mitigation (2310.09977v3)

Published 15 Oct 2023 in cs.CR and cs.AR

Abstract: We introduce ABACuS, a new low-cost hardware-counter-based RowHammer mitigation technique that performance-, energy-, and area-efficiently scales with worsening RowHammer vulnerability. We observe that both benign workloads and RowHammer attacks tend to access DRAM rows with the same row address in multiple DRAM banks at around the same time. Based on this observation, ABACuS's key idea is to use a single shared row activation counter to track activations to the rows with the same row address in all DRAM banks. Unlike state-of-the-art RowHammer mitigation mechanisms that implement a separate row activation counter for each DRAM bank, ABACuS implements fewer counters (e.g., only one) to track an equal number of aggressor rows. Our evaluations show that ABACuS securely prevents RowHammer bitflips at low performance/energy overhead and low area cost. We compare ABACuS to four state-of-the-art mitigation mechanisms. At a near-future RowHammer threshold of 1000, ABACuS incurs only 0.58% (0.77%) performance and 1.66% (2.12%) DRAM energy overheads, averaged across 62 single-core (8-core) workloads, requiring only 9.47 KiB of storage per DRAM rank. At the RowHammer threshold of 1000, the best prior low-area-cost mitigation mechanism incurs 1.80% higher average performance overhead than ABACuS, while ABACuS requires 2.50X smaller chip area to implement. At a future RowHammer threshold of 125, ABACuS performs very similarly to (within 0.38% of the performance of) the best prior performance- and energy-efficient RowHammer mitigation mechanism while requiring 22.72X smaller chip area. ABACuS is freely and openly available at https://github.com/CMU-SAFARI/ABACuS.

Definition Search Book Streamline Icon: https://streamlinehq.com
References (200)
  1. Y. Kim et al. Flipping Bits in Memory Without Accessing Them: An Experimental Study of DRAM Disturbance Errors. In ISCA, 2014.
  2. Onur Mutlu. The RowHammer Problem and Other Issues We May Face as Memory Becomes Denser. In DATE, 2017.
  3. Thomas Yang et al. Trap-Assisted DRAM Row Hammer Effect. EDL, 2019.
  4. Onur Mutlu et al. RowHammer: A Retrospective. TCAD, 2019.
  5. Kyungbae Park et al. Statistical Distributions of Row-Hammering Induced Failures in DDR3 Components. Microelectronics Reliability, 2016.
  6. Kyungbae Park et al. Experiments and Root Cause Analysis for Active-Precharge Hammering Fault in DDR3 SDRAM under 3xnm Technology. Microelectronics Reliability, 2016.
  7. Andrew J. Walker et al. On DRAM RowHammer and the Physics on Insecurity. IEEE TED, 2021.
  8. Seong-Wan Ryu et al. Overcoming the Reliability Limitation in the Ultimately Scaled DRAM using Silicon Migration Technique by Hydrogen Annealing. In IEDM, 2017.
  9. Chia Yang et al. Suppression of RowHammer Effect by Doping Profile Modification in Saddle-Fin Array Devices for Sub-30-nm DRAM Technology. TDMR, 2016.
  10. Chia-Ming Yang et al. Scanning Spreading Resistance Microscopy for Doping Profile in Saddle-Fin Devices. IEEE Transactions on Nanotechnology, 2017.
  11. SK Gautam et al. Row Hammering Mitigation Using Metal Nanowire in Saddle Fin DRAM. IEEE TED, 2019.
  12. Yichen Jiang et al. Quantifying RowHammer Vulnerability for DRAM Security. In DAC, 2021.
  13. Onur Mutlu et al. Fundamentally Understanding and Solving RowHammer. In ASP-DAC, 2023.
  14. Jeremie S. Kim et al. Revisiting RowHammer: An Experimental Analysis of Modern Devices and Mitigation Techniques. In ISCA, 2020.
  15. Pietro Frigo et al. TRRespass: Exploiting the Many Sides of Target Row Refresh. In S&P, 2020.
  16. A. Giray Yağlıkçı et al. Understanding RowHammer Under Reduced Wordline Voltage: An Experimental Study Using Real DRAM Devices. In DSN, 2022.
  17. Lois Orosa et al. A Deeper Look into RowHammer’s Sensitivities: Experimental Analysis of Real DRAM Chips and Implications on Future Attacks and Defenses. In MICRO, 2021.
  18. Onur Mutlu. RowHammer. Top Picks in Hardware and Embedded Security, 2018.
  19. Onur Mutlu et al. Fundamentally Understanding and Solving RowHammer. arXiv:2211.07613 [cs.CR], 2022.
  20. Apostolos P Fournaris et al. Exploiting Hardware Vulnerabilities to Attack Embedded System Devices: A Survey of Potent Microarchitectural Attacks. Electronics, 2017.
  21. Damian Poddebniak et al. Attacking Deterministic Signature Schemes using Fault Attacks. In EuroS&P, 2018.
  22. Andrei Tatar et al. Throwhammer: Rowhammer Attacks Over the Network and Defenses. In USENIX ATC, 2018.
  23. Sebastien Carre et al. OpenSSL Bellcore’s Protection Helps Fault Attack. In DSD, 2018.
  24. Alessandro Barenghi et al. Software-Only Reverse Engineering of Physical DRAM Mappings for Rowhammer Attacks. In IVSW, 2018.
  25. Zhenkai Zhang et al. Triggering Rowhammer Hardware Faults on ARM: A Revisit. In ASHES, 2018.
  26. Sarani Bhattacharya et al. Advanced Fault Attacks in Software: Exploiting the Rowhammer Bug. Fault Tolerant Architectures for Cryptography and Hardware Security, 2018.
  27. SAFARI Research Group. RowHammer — GitHub Repository. https://github.com/CMU-SAFARI/rowhammer.
  28. Mark Seaborn et al. Exploiting the DRAM Rowhammer Bug to Gain Kernel Privileges. http://googleprojectzero.blogspot.com.tr/2015/03/exploiting-dram-rowhammer-bug-to-gain.html, 2015.
  29. Victor van der Veen et al. Drammer: Deterministic Rowhammer Attacks on Mobile Platforms. In CCS, 2016.
  30. Daniel Gruss et al. Rowhammer.js: A Remote Software-Induced Fault Attack in Javascript. arXiv:1507.06955 [cs.CR], 2016.
  31. Kaveh Razavi et al. Flip Feng Shui: Hammering a Needle in the Software Stack. In USENIX Security, 2016.
  32. Peter Pessl et al. DRAMA: Exploiting DRAM Addressing for Cross-CPU Attacks. In USENIX Security, 2016.
  33. Yuan Xiao et al. One Bit Flips, One Cloud Flops: Cross-VM Row Hammer Attacks and Privilege Escalation. In USENIX Security, 2016.
  34. Erik Bosman et al. Dedup Est Machina: Memory Deduplication as An Advanced Exploitation Vector. In S&P, 2016.
  35. Sarani Bhattacharya et al. Curious Case of Rowhammer: Flipping Secret Exponent Bits Using Timing Analysis. In CHES, 2016.
  36. Wayne Burleson et al. Invited: Who is the Major Threat to Tomorrow’s Security? You, the Hardware Designer. In DAC, 2016.
  37. Rui Qiao et al. A New Approach for RowHammer Attacks. In HOST, 2016.
  38. Ferdinand Brasser et al. Can’t Touch This: Software-Only Mitigation Against Rowhammer Attacks Targeting Kernel Memory. In USENIX Security, 2017.
  39. Yeongjin Jang et al. SGX-Bomb: Locking Down the Processor via Rowhammer Attack. In SOSP, 2017.
  40. Misiker Aga et al. When Good Protections Go Bad: Exploiting Anti-DoS Measures to Accelerate Rowhammer Attacks. In HOST, 2017.
  41. Andrei Tatar et al. Defeating Software Mitigations Against Rowhammer: A Surgical Precision Hammer. In RAID, 2018.
  42. Daniel Gruss et al. Another Flip in the Wall of Rowhammer Defenses. In S&P, 2018.
  43. Moritz Lipp et al. Nethammer: Inducing Rowhammer Faults Through Network Requests. arXiv:1805.04956 [cs.CR], 2018.
  44. Victor van der Veen et al. GuardION: Practical Mitigation of DMA-Based Rowhammer Attacks on ARM. In DIMVA, 2018.
  45. Pietro Frigo et al. Grand Pwning Unit: Accelerating Microarchitectural Attacks with the GPU. In S&P, 2018.
  46. Lucian Cojocar et al. Exploiting Correcting Codes: On the Effectiveness of ECC Memory Against Rowhammer Attacks. In S&P, 2019.
  47. Sangwoo Ji et al. Pinpoint Rowhammer: Suppressing Unwanted Bit Flips on Rowhammer Attacks. In ASIACCS, 2019.
  48. Sanghyun Hong et al. Terminal Brain Damage: Exposing the Graceless Degradation in Deep Neural Networks Under Hardware Fault Attacks. In USENIX Security, 2019.
  49. Andrew Kwong et al. RAMBleed: Reading Bits in Memory Without Accessing Them. In S&P, 2020.
  50. Lucian Cojocar et al. Are We Susceptible to Rowhammer? An End-to-End Methodology for Cloud Providers. In S&P, 2020.
  51. Zane Weissman et al. JackHammer: Efficient Rowhammer on Heterogeneous FPGA–CPU Platforms. arXiv:1912.11523 [cs.CR], 2020.
  52. Zhi Zhang et al. PTHammer: Cross-User-Kernel-Boundary Rowhammer Through Implicit Accesses. In MICRO, 2020.
  53. Fan Yao et al. Deephammer: Depleting the Intelligence of Deep Neural Networks Through Targeted Chain of Bit Flips. In USENIX Security, 2020.
  54. Finn de Ridder et al. SMASH: Synchronized Many-Sided Rowhammer Attacks from JavaScript. In USENIX Security, 2021.
  55. Hasan Hassan et al. Uncovering In-DRAM RowHammer Protection Mechanisms: A New Methodology, Custom RowHammer Patterns, and Implications. In MICRO, 2021.
  56. Patrick Jattke et al. Blacksmith: Scalable Rowhammering in the Frequency Domain. In SP, 2022.
  57. M Caner Tol et al. Toward Realistic Backdoor Injection Attacks on DNNs using RowHammer. arXiv:2110.07683v2 [cs.LG], 2022.
  58. Andreas Kogler et al. Half-Double: Hammering From the Next Row Over. In USENIX Security, 2022.
  59. Lois Orosa et al. SpyHammer: Using RowHammer to Remotely Spy on Temperature. arXiv:2210.04084 [cs.CR], 2022.
  60. Zhi Zhang et al. Implicit Hammer: Cross-Privilege-Boundary Rowhammer through Implicit Accesses. IEEE Transactions on Dependable and Secure Computing, 2022.
  61. Generating Robust DNN with Resistance to Bit-Flip based Adversarial Weight Attack. IEEE Transactions on Computers, 2022.
  62. Yaakov Cohen et al. HammerScope: Observing DRAM Power Consumption Using Rowhammer. In CCS, 2022.
  63. Mengxin Zheng et al. TrojViT: Trojan Insertion in Vision Transformers. arXiv:2208.13049 [cs.LG], 2022.
  64. Michael Fahr Jr et al. When Frodo Flips: End-to-End Key Recovery on FrodoKEM via Rowhammer. CCS, 2022.
  65. Youssef Tobah et al. SpecHammer: Combining Spectre and Rowhammer for New Speculative Attacks. In SP, 2022.
  66. Adnan Siraj Rakin et al. DeepSteal: Advanced Model Extractions Leveraging Efficient Weight Stealing in Memories. In SP, 2022.
  67. Hakan Aydin et al. Cyber Security in Industrial Control Systems (ICS): A Survey of RowHammer Vulnerability. Applied Computer Science, 2022.
  68. Koksal Mus et al. Jolt: Recovering TLS Signing Keys via Rowhammer Faults. Cryptology ePrint Archive, 2022.
  69. Jianxin Wang et al. Research and Implementation of Rowhammer Attack Method based on Domestic NeoKylin Operating System. In ICFTIC, 2022.
  70. Sam Lefforge. Reverse Engineering Post-Quantum Cryptography Schemes to Find Rowhammer Exploits. Bachelor’s Thesis, University of Arkansas, 2023.
  71. Michael Jacob Fahr. The Effects of Side-Channel Attacks on Post-Quantum Cryptography: Influencing FrodoKEM Key Generation Using the Rowhammer Exploit. Master’s thesis, University of Arkansas, 2022.
  72. Anandpreet Kaur et al. Work-in-Progress: DRAM-MaUT: DRAM Address Mapping Unveiling Tool for ARM Devices. In CASES, 2022.
  73. Kunbei Cai et al. On the Feasibility of Training-time Trojan Attacks through Hardware-based Faults in Memory. In HOST, 2022.
  74. Dawei Li et al. CyberRadar: A PUF-based Detecting and Mapping Framework for Physical Devices. arXiv:2201.07597, 2022.
  75. Arman Roohi et al. Efficient Targeted Bit-Flip Attack Against the Local Binary Pattern Network. In HOST, 2022.
  76. Felix Staudigl et al. NeuroHammer: Inducing Bit-Flips in Memristive Crossbar Memories. In DATE, 2022.
  77. Li-Hsing Yang et al. Socially-Aware Collaborative Defense System against Bit-Flip Attack in Social Internet of Things and Its Online Assignment Optimization. In ICCCN, 2022.
  78. Saad Islam et al. Signature Correction Attack on Dilithium Signature Scheme. In Euro S&P, 2022.
  79. Anandpreet Kaur et al. Flipping Bits Like a Pro: Precise Rowhammering on Embedded Devices. IEEE Embedded Systems Letters, 2023.
  80. Andrew J. Adiletta et al. Mayhem: Targeted Corruption of Register and Stack Variables. arXiv:2309.02545, 2023.
  81. Jianshuo Dong et al. One-bit Flip is All You Need: When Bit-flip Attack Meets Model Training. In ICCV, 2023.
  82. Fangxin Liu et al. HyperAttack: An Efficient Attack Framework for HyperDimensional Computing. In DAC, 2023.
  83. M. Caner Tol et al. Don’t Knock! Rowhammer at the Backdoor of DNN Models. In DSN, 2023.
  84. Apple Inc. About the Security Content of Mac EFI Security Update 2015-001. https://support.apple.com/en-us/HT204934. June 2015.
  85. Hewlett-Packard Enterprise. HP Moonshot Component Pack Version 2015.05.0, 2015.
  86. Lenovo Group Ltd. Row Hammer Privilege Escalation, 2015.
  87. Zvika Greenfield et al. Throttling Support for Row-Hammer Counters, 2016. U.S. Patent 9,251,885.
  88. Dae-Hyun Kim et al. Architectural Support for Mitigating Row Hammering in DRAM Memories. CAL, 2015.
  89. Distributed Row Hammer Tracking. US Patent App. 13/631,781, April 3 2014.
  90. K.S. Bains et al. Method, Apparatus and System for Providing a Memory Refresh. US Patent App. 13/625,741, March 27 2014.
  91. K.S. Bains et al. Row Hammer Refresh Command. US Patent App. 13/539,415, January 2 2014.
  92. K. Bains et al. Row Hammer Refresh Command. US Patent App. 14/068,677, February 27 2014.
  93. Zelalem Birhanu Aweke et al. ANVIL: Software-Based Protection Against Next-Generation Rowhammer Attacks. In ASPLOS, 2016.
  94. Kuljit Bains et al. Row Hammer Refresh Command, 2015. U.S. Patent 9,117,544.
  95. Kuljit S Bains et al. Row Hammer Monitoring Based on Stored Row Hammer Threshold Value, 2016. U.S. Patent 9,384,821.
  96. Kuljit S Bains et al. Distributed Row Hammer Tracking, 2016. U.S. Patent 9,299,400.
  97. Mungyu Son et al. Making DRAM Stronger Against Row Hammering. In DAC, 2017.
  98. S. M. Seyedzadeh et al. Mitigating Wordline Crosstalk Using Adaptive Trees of Counters. In ISCA, 2018.
  99. Gorka Irazoqui et al. MASCAT: Stopping Microarchitectural Attacks Before Execution. IACR Cryptology, 2016.
  100. Jung Min You et al. MRLoc: Mitigating Row-Hammering Based on Memory Locality. In DAC, 2019.
  101. Eojin Lee et al. TWiCe: Preventing Row-Hammering by Exploiting Time Window Counters. In ISCA, 2019.
  102. Yeonhong Park et al. Graphene: Strong yet Lightweight Row Hammer Protection. In MICRO, 2020.
  103. A. Giray Yağlıkçı et al. Security Analysis of the Silver Bullet Technique for RowHammer Prevention. arXiv:2106.07084 [cs.CR], 2021.
  104. A. Giray Yağlıkçı et al. BlockHammer: Preventing RowHammer at Low Cost by Blacklisting Rapidly-Accessed DRAM Rows. In HPCA, 2021.
  105. Ingab Kang et al. CAT-TWO: Counter-Based Adaptive Tree, Time Window Optimized for DRAM Row-Hammer Prevention. IEEE Access, 2020.
  106. Moinuddin Qureshi et al. Hydra: Enabling Low-Overhead Mitigation of Row-Hammer at Ultra-Low Thresholds via Hybrid Tracking. In ISCA, 2022.
  107. Gururaj Saileshwar et al. Randomized Row-Swap: Mitigating Row Hammer by Breaking Spatial Correlation Between Aggressor and Victim Rows. In ASPLOS, 2022.
  108. Radhesh Krishnan Konoth et al. ZebRAM: Comprehensive and Compatible Software Protection Against Rowhammer Attacks. In OSDI, 2018.
  109. Saru Vig et al. Rapid Detection of Rowhammer Attacks Using Dynamic Skewed Hash Tree. In HASP, 2018.
  110. Michael Jaemin Kim et al. Mithril: Cooperative Row Hammer Protection on Commodity DRAM Leveraging Managed Refresh. In HPCA, 2022.
  111. Gyu-Hyeon Lee et al. CryoGuard: A Near Refresh-Free Robust DRAM Design for Cryogenic Computing. In ISCA, 2021.
  112. Michele Marazzi et al. ProTRR: Principled yet Optimal In-DRAM Target Row Refresh. In IEEE S&P, 2022.
  113. Zhi Zhang et al. SoftTRR: Protect Page Tables against Rowhammer Attacks using Software-Only Target Row Refresh. In USENIX ATC, 2022.
  114. Biresh Kumar Joardar et al. Learning to Mitigate RowHammer Attacks. In DATE, 2022.
  115. Jonas Juffinger et al. CSI: Rowhammer-Cryptographic Security and Integrity against Rowhammer. In SP, 2023.
  116. A. Giray Yağlikçi et al. HiRA: Hidden Row Activation for Reducing Refresh Latency of Off-the-Shelf DRAM Chips. In MICRO, 2022.
  117. Anish Saxena et al. AQUA: Scalable Rowhammer Mitigation by Quarantining Aggressor Rows at Runtime. In MICRO, 2022.
  118. Shuhei Enomoto et al. Efficient Protection Mechanism for CPU Cache Flush Instruction Based Attacks. IEICE Transactions on Information and Systems, 2022.
  119. Evgeny Manzhosov et al. Revisiting Residue Codes for Modern Memories. In MICRO, 2022.
  120. Samira Ajorpaz et al. EVAX: Towards a Practical, Pro-active & Adaptive Architecture for High Performance & Security. In MICRO, 2022.
  121. Amir Naseredini et al. ALARM: Active LeArning of Rowhammer Mitigations. https://users.sussex.ac.uk/~mfb21/rh-draft.pdf, 2022.
  122. Biresh Kumar Joardar et al. Machine Learning-Based Rowhammer Mitigation. TCAD, 2022.
  123. Hasan Hassan et al. A Case for Self-Managing DRAM Chips: Improving Performance, Efficiency, Reliability, and Security via Autonomous in-DRAM Maintenance Operations. arXiv:2207.13358 [cs.AR], 2022.
  124. Zhenkai Zhang et al. Leveraging EM Side-Channel Information to Detect Rowhammer Attacks. In SP, 2020.
  125. Kevin Loughlin et al. Stop! Hammer Time: Rethinking Our Approach to Rowhammer Mitigations. In HotOS, 2021.
  126. Fabrice Devaux et al. Method and Circuit for Protecting a DRAM Memory Device from the Row Hammer Effect, 2021. U.S. Patent 10,885,966.
  127. Ali Fakhrzadehgan et al. SafeGuard: Reducing the Security Risk from Row-Hammer via Low-Cost Integrity Protection. In HPCA, 2022.
  128. Stefan Saroiu et al. The Price of Secrecy: How Hiding Internal DRAM Topologies Hurts Rowhammer Defenses. In IRPS, 2022.
  129. Kevin Loughlin et al. MOESI-Prime: Preventing Coherence-Induced Hammering in Commodity Workloads. In ISCA, 2022.
  130. Jin Han et al. Surround Gate Transistor With Epitaxially Grown Si Pillar and Simulation Study on Soft Error and Rowhammer Tolerance for DRAM. TED, 2021.
  131. Jeonghyun Woo et al. Scalable and Secure Row-Swap: Efficient and Safe Row Hammer Mitigation in Memory Systems. arXiv:2212.12613, 2022.
  132. Carsten Bock et al. RIP-RH: Preventing Rowhammer-Based Inter-Process Attacks. In ASIA CCS, 2019.
  133. Yicheng Wang et al. Discreet-PARA: Rowhammer Defense with Low Cost and High Efficiency. In ICCD, 2021.
  134. Tanj Bennett et al. Panopticon: A Complete In-DRAM Rowhammer Mitigation. In Workshop on DRAM Security (DRAMSec), 2021.
  135. Woongrae Kim et al. A 1.1V 16Gb DDR5 DRAM with Probabilistic-Aggressor Tracking, Refresh-Management Functionality, Per-Row Hammer Tracking, a Multi-Step Precharge, and Core-Bias Modulation for Security and Reliability Enhancement. In ISSCC, 2023.
  136. Yoongu Kim et al. A Case for Exploiting Subarray-Level Parallelism (SALP) in DRAM. In ISCA, 2012.
  137. Kevin K Chang et al. Improving DRAM Performance by Parallelizing Refreshes with Accesses. In HPCA, 2014.
  138. Parallelism-Aware Batch Scheduling: Enhancing Both Performance and Fairness of Shared DRAM Systems. In ISCA, 2008.
  139. Lavanya Subramanian et al. BLISS: Balancing Performance, Fairness and Complexity in Memory Access Scheduling. TPDS, 2016.
  140. Lavanya Subramanian et al. The Blacklisting Memory Scheduler: Achieving High Performance and Fairness at Low Cost. In ICCD, 2014.
  141. Chang Joo Lee et al. Improving Memory Bank-Level Parallelism in the Presence of Prefetching. In MICRO, 2009.
  142. Eiman Ebrahimi et al. Fairness via Source Throttling: A Configurable and High Performance Fairness Substrate for Multi Core Memory Systems. In ASPLOS, 2010.
  143. Engin Ipek et al. Self-Optimizing Memory Controllers: A Reinforcement Learning Approach. In ISCA, 2008.
  144. Yoongu Kim et al. ATLAS: A Scalable and High-Performance Scheduling Algorithm for Multiple Memory Controllers. In HPCA, 2010.
  145. Yoongu Kim et al. Thread Cluster Memory Scheduling: Exploiting Differences in Memory Access Behavior. In MICRO, 2010.
  146. Eiman Ebrahimi et al. Parallel Application Memory Scheduling. In MICRO, 2011.
  147. Jamie Liu et al. RAIDR: Retention-Aware Intelligent DRAM Refresh. In ISCA, 2012.
  148. Donghyuk Lee et al. Tiered-Latency DRAM: A Low Latency and Low Cost DRAM Architecture. In HPCA, 2013.
  149. Onur Mutlu. Memory Scaling: A Systems Architecture Perspective. In IMW, 2013.
  150. IBM. IBM Power S1014, S1022s, S1022, and S1024 Technical Overview and Introduction. https://www.redbooks.ibm.com/abstracts/redp5675.html, 2022.
  151. AMD Inc. 4TH GEN AMD EPYC PROCESSOR ARCHITECTURE. https://www.amd.com/system/files/documents/4th-gen-epyc-processor-architecture-white-paper.pdf, 2023.
  152. Intel Inc. Intel® Xeon® W-3400 & Intel® Xeon® W-2400 Processors and the Intel® W790 Chipset Workstation Platform Brief, 2023.
  153. Yoongu Kim et al. Ramulator: A Fast and Extensible DRAM Simulator. CAL, 2016.
  154. SAFARI Research Group. Ramulator — GitHub Repository. https://github.com/CMU-SAFARI/ramulator, 2021.
  155. Haocong Luo et al. Ramulator 2.0: A Modern, Modular, and Extensible DRAM Simulator. arXiv:2308.11030 [cs.AR], 2023.
  156. SAFARI Research Group. Ramulator 2.0 — GitHub Repository. https://github.com/CMU-SAFARI/ramulator2, 2023.
  157. Rajeev Balasubramonian et al. CACTI 7: New Tools for Interconnect Exploration in Innovative Off-Chip Memories. ACM TACO, 2017.
  158. JEDEC. JESD79-4C: DDR4 SDRAM Standard, 2020.
  159. JEDEC. JESD79-3: DDR3 SDRAM Standard, 2012.
  160. Micron Inc. SDRAM, 4Gb: x4, x8, x16 DDR4 SDRAM Features, 2014.
  161. JEDEC. JESD209-4B: Low Power Double Data Rate 4 (LPDDR4) Standard, 2017.
  162. JEDEC. JESD79-5: DDR5 SDRAM Standard, 2020.
  163. JEDEC. JESD209-5A: LPDDR5 SDRAM Standard, 2020.
  164. JEDEC. JESD235C: High Bandwidth Memory (HBM) DRAM, 2020.
  165. JEDEC. JESD79F: Double Data Rate (DDR) SDRAM Standard, 2008.
  166. Zhao Zhang et al. A Permutation-Based Page Interleaving Scheme to Reduce Row-Buffer Conflicts and Exploit Data Locality. In MICRO, 2000.
  167. Jae Young Hur et al. Adaptive Linear Address Map for Bank Interleaving in DRAMs. IEEE Access, 2019.
  168. Dimitris Kaseridis et al. Minimalist Open-Page: A DRAM Page-Mode Scheduling Policy for the Many-Core Era. In MICRO, 2011.
  169. Y. Liu et al. Get Out of the Valley: Power-Efficient Address Mapping for GPUs. In ISCA, 2018.
  170. Mohsen Ghasempour et al. DReAM: Dynamic Re-Arrangement of Address Mapping to Improve the Performance of DRAMs. In MEMSYS, 2016.
  171. Jayadev Misra et al. Finding Repeated Elements. Science of Computer Programming, 1982.
  172. Seyed Mohammad Seyedzadeh et al. Counter-Based Tree Structure for Row Hammering Mitigation in DRAM. CAL, 2017.
  173. N.P. Jouppi. Improving Direct-Mapped Cache Performance by the Addition of a Small Fully-Associative Cache and Prefetch Buffers. In ISCA, 1990.
  174. Alan Jay Smith. Cache Memories. ACM Compututing Surveys, 1982.
  175. Minesh Patel et al. A Case for Transparent Reliability in DRAM Systems. arXiv:2204.10378 [cs.AR], 2022.
  176. RowPress: Amplifying Read Disturbance in Modern DRAM Chips. In ISCA, 2023.
  177. M. Marazzi et al. REGA: Scalable Rowhammer Mitigation with Refresh-Generating Activations. In IEEE S&P, 2023.
  178. WikiChip. Cascade Lake SP - Intel. https://en.wikichip.org/wiki/intel/cores/cascade_lake_sp.
  179. Synopsys, Inc. Synopsys Design Compiler. https://www.synopsys.com/support/training/rtl-synthesis/design-compiler-rtl-synthesis.html.
  180. Karthik Chandrasekar et al. DRAMPower: Open-Source DRAM Power & Energy Estimation Tool. http://www.drampower.info/.
  181. Scott Rixner et al. Memory Access Scheduling. In ISCA, 2000.
  182. William K Zuravleff et al. Controller for a Synchronous DRAM That Maximizes Throughput by Allowing Memory Requests and Commands to Be Issued Out of Order, 1997. U.S. Patent 5,630,096.
  183. Onur Mutlu et al. Stall-Time Fair Memory Access Scheduling for Chip Multiprocessors. In MICRO, 2007.
  184. Standard Performance Evaluation Corp. SPEC CPU 2006. http://www.spec.org/cpu2006/, 2006.
  185. Standard Performance Evaluation Corp. SPEC CPU 2017. http://www.spec.org/cpu2017, 2017.
  186. Transaction Processing Performance Council. TPC Benchmarks. http://tpc.org/.
  187. Jason E. Fritts et al. MediaBench II Video: Expediting the next Generation of Video Systems Research. Microprocess. Microsyst., 2009.
  188. Brian Cooper et al. Benchmarking Cloud Serving Systems with YCSB. In SoCC, 2010.
  189. Greg Hamerly et al. SimPoint 3.0: Faster and More Flexible Program Phase Analysis. Journal of Instruction-Level Parallelism, 2005.
  190. SAFARI Research Group. ABACuS — GitHub Repository. https://github.com/CMU-SAFARI/ABACuS, 2023.
  191. Allan Snavely et al. Symbiotic Job Scheduling for A Simultaneous Multithreaded Processor. In ASPLOS, 2000.
  192. Stijn Eyerman et al. System-Level Performance Metrics for Multiprogram Workloads. IEEE Micro, 2008.
  193. Pierre Michaud. Demystifying Multicore Throughput Metrics. CAL, 2012.
  194. R. Zhou et al. LT-PIM: An LUT-Based Processing-in-DRAM Architecture With RowHammer Self-Tracking. IEEE CAL, 2022.
  195. Stefan Saroiu et al. How to Configure Row-Sampling-Based Rowhammer Defenses. DRAMSec, 2022.
  196. H. Hassan et al. CROW: A Low-Cost Substrate for Improving DRAM Performance, Energy Efficiency, and Reliability. In ISCA, 2019.
  197. Zhi Zhang et al. TeleHammer: A Stealthy Cross-Boundary Rowhammer Technique. arXiv:1912.03076 [cs.CR], 2019.
  198. Moinuddin Qureshi. Rethinking ECC in the Era of Row-Hammer. DRAMSec, 2021.
  199. Seungki Hong et al. DSAC: Low-Cost Rowhammer Mitigation Using In-DRAM Stochastic and Approximate Counting Algorithm. arXiv:2302.03591, 2023.
  200. H. Gomez et al. DRAM Row-Hammer Attack Reduction Using Dummy Cells. In NORCAS, 2016.
Citations (11)

Summary

  • The paper introduces ABACuS, an innovative RowHammer mitigation method that shares row activation counters across different memory banks to enhance scalability.
  • ABACuS significantly reduces chip area requirements by using a single counter to track maximum activations for sibling rows across banks.
  • Evaluation shows ABACuS achieves minimal performance overhead (0.58% at threshold 1000) and storage cost while maintaining effectiveness against low RowHammer thresholds.

ABACuS: All-Bank Activation Counters for Scalable and Low-Overhead RowHammer Mitigation

The paper "ABACuS: All-Bank Activation Counters for Scalable and Low Overhead RowHammer Mitigation" tackles the persistent security issue known as the RowHammer vulnerability in modern DRAM systems. RowHammer arises when repeated activation of a row in a DRAM can cause bit flips in neighboring rows, potentially leading to security exploits. As DRAM technology continues to scale, the RowHammer threshold (the minimum number of activations required to induce a bit flip) has decreased significantly, necessitating effective and scalable mitigation techniques.

Problem Context and ABACuS Solution

Traditional mitigation strategies often rely on row activation counters to track and manage potential aggressor rows, but face key scalability issues, particularly with increasing DRAM density and the number of banks. Many current mechanisms either incur high area costs due to the necessity of numerous activation counters or suffer from substantial performance penalties when RowHammer thresholds are low.

ABACuS (All-Bank Activation Counters for Scalable and Low Overhead RowHammer Mitigation) introduces an innovative approach that involves sharing row activation counters across banks. This method leverages the common observation that workloads frequently access rows with the same address in different banks around the same time, thus reducing the need for as many counters while ensuring efficient tracking of the most frequently activated rows.

Key Mechanisms

At its core, ABACuS uses a single activation counter to track the worst-case (maximum) number of activations across all sibling rows (rows with the same address in different banks). This design choice considerably reduces the chip area needed to implement the mitigation strategy, as the number of counters is minimized, directly correlating with a significant decrease in resource use per bank.

The ABACuS system periodically resets its counters based on the refresh window, ensuring all rows are refreshed in a timely manner to prevent potential bit flips before a RowHammer threshold is reached. Additionally, ABACuS adjusts smoothly to different DRAM configurations and varied application workloads due to its adaptive nature.

Evaluation and Results

In extensive evaluations against prominent existing solutions like Graphene and Hydra, ABACuS demonstrates robust performance across a range of benchmarks and RowHammer thresholds. Notably, at a RowHammer threshold of 1000, ABACuS achieves a performance overhead of merely 0.58% for single-core workloads and requires only 18.93 KiB of storage, indicating remarkable efficiency.

Furthermore, ABACuS exhibits exceptional scalability even when the threshold is as low as 125, maintaining low performance overheads while still offering significant area savings compared to other state-of-the-art approaches. The evaluation shows that the shared activation counters approach effectively tracks aggressor rows with reduced mitigation actions and unnecessary refreshes, thus minimizing overheads.

Implications and Future Developments

ABACuS represents a substantial advancement in DRAM RowHammer mitigation techniques, offering a scalable, low-cost solution that balances performance, energy efficiency, and minimal area impact. Its architecture aligns well with modern DRAM system requirements, addressing both present and future challenges posed by decreasing RowHammer thresholds.

Looking forward, ABACuS opens pathways for further integration with emerging DRAM technologies and potentially developing cross-layer solutions that combine hardware and software enhancements to bolster security. Additionally, future research could explore the integration of ABACuS with system-level protections to create a more holistic defense mechanism against RowHammer-induced vulnerabilities.

In summary, ABACuS stands out as an efficient, scalable approach to RowHammer mitigation that can be feasibly deployed in next-generation DRAM systems, making it a compelling choice for both current and future computing environments.

X Twitter Logo Streamline Icon: https://streamlinehq.com
Youtube Logo Streamline Icon: https://streamlinehq.com